Abstract

Dark silicon has recently emerged as a new problem in VLSI technology. Maximizing performance of chip-multiprocessors (CMPs) under power and thermal constraints is very challenging in the dark silicon era. Providing next-generation analytical models for future CMPs which consider the impact of power consumption of core and uncore components such as cache hierarchy and on-chip interconnect that consume significant portion of the on-chip power consumption is largely unexplored. In this article, we propose a detailed power model which is useful for future CMP power modeling. In the proposed architecture for future CMPs, we exploit emerging technologies such as non-volatile memories (NVMs) and 3D techniques to combat dark silicon. Results extracted from the simulations are compared with those obtained from the analytical model. Comparisons show that the proposed model accurately estimates the power consumption of CMPs running both multi-threaded and multi-programed workloads.

Highlights

  • In today’s chip-multiprocessor (CMP) architectures, power consumption is the primary constraint during system design

  • We show that uncore components such as cache hierarchy and on-chip interconnect are significant contributors in the overall chip power budget in the nanoscale era and play important roles in the dark silicon age

  • We make the following novel contributions: 1. We propose an accurate power model for future CMPs with stacked cache layers that support the impact of power consumption of core and uncore components in parallel

Read more

Summary

Introduction

In today’s chip-multiprocessor (CMP) architectures, power consumption is the primary constraint during system design. Research shows that the increasing leakage power consumption is a major driver of unusable portion or dark silicon in future many-core CMPs [4] Uncore components such as memory and on-chip interconnect play a. Unlike the previous research on dark silicon which considers only the portion of power consumption related to on-chip cores [4, 14,15,16], the proposed model considers power impact of uncore components, such as cache hierarchy and on-chip interconnect, as important contributors in the total CMP power consumption. To the best of our knowledge, the proposed model is the first work in power modeling of network-on-chip (NoC)-based CMPs with stacked cache hierarchy as future CMPs. In this paper, we make the following novel contributions: 1. We propose an accurate power model for future CMPs with stacked cache layers that support the impact of power consumption of core and uncore components in parallel.

Background
MB SRAM
Modeling of cache hierarchy power consumption
N rX egnk
Experimental evaluation
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call