Abstract

The Unified Power Format (UPF), currently undergoing standardization efforts by the IEEE P1801 Low Power Working Group, is a proposal for the specification of aspects of hardware designs relating to their power adaptation facilities. UPF is concerned with two separate but related issues. First, it enables the explicit specification of the aspects of a design relating to its power dissipation, such as the system's different power supply rails and the associated domains running off these supplies, different threshold voltage domains in a multi-Vt technology, the system's operations states with different power dissipation properties, and the semantics of system behavior when in different power states (e.g., whether registers keep their state when a supply rail is gated); this is a design issue, and is addressed in UPF by the UPF commands for specifying low-power design intent. Second, UPF enables the specification of information pertaining to power estimation results from hardware designs; this is a measurement issue, and is addressed in UPF by the forward and backward Switching Activity Interchange Format (SAIF) files.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.