Abstract

The key process steps for growing high-quality Si-based epitaxial films via reduced pressure chemical vapor deposition (RPCVD) are investigated herein. The quality of the epitaxial films is largely affected by the following steps in the epitaxy process: ex-situ cleaning, in-situ bake, and loading conditions such as the temperature and gaseous environment. With respect to ex-situ cleaning, dry cleaning is found to be more effective than wet cleaning in 1:200 dilute hydrofluoric acid (DHF), while wet cleaning in 1:30 DHF is the least effective. However, the best results of all are obtained via a combination of wet and dry cleaning. With respect to in-situ hydrogen bake in the presence of H2 gas, the level of impurities is gradually decreased as the temperature increases from 700 °C to a maximum of 850 °C, at which no peaks of O and F are observed. Further, the addition of a hydrogen chloride (HCl) bake step after the H2 bake results in effective in-situ bake even at temperatures as low as 700 °C. In addition, the effects of temperature and environment (vacuum or gas) at the time of loading the wafers into the process chamber are compared. Better quality epitaxial films are obtained when the samples are loaded into the process chamber at low temperature in a gaseous environment. These results indicate that the epitaxial conditions must be carefully tuned and controlled in order to achieve high-quality epitaxial growth.

Highlights

  • The epitaxial growth of silicon, silicon-germanium (SiGe) layers on a silicon substrate is a well-established technology for semiconductor fabrication, and has long been applied to the production of high-performance image sensors [1] and power devices [2]

  • The currently used FIN field-effect transistor (FINFET) technology has been extended down ported the growth of crystalline Si or SiGe [18,19,20,21,22,23,24], there are a few reports on key pro steps in low-temperature epitaxial growth via reduced pressure chemical vapor deposition (RPCVD)

  • Even though high order interface of Si and epitaxial layer leads to staking faults in the Si film [28], while C l silane precursors can be used at lower temperature [24,25,26,27], a cyclic deposition and etch to the precipitation of silicon carbide and (SEG), causesmaking dislocation ormost staking faults in th routine are needed for selective epitaxial growth

Read more

Summary

Introduction

The epitaxial growth of silicon, silicon-germanium (SiGe) layers on a silicon substrate is a well-established technology for semiconductor fabrication, and has long been applied to the production of high-performance image sensors [1] and power devices [2]. Even though high order interface of Si and epitaxial layer leads to staking faults in the Si film [28], while C l silane precursors can be used at lower temperature [24,25,26,27], a cyclic deposition and etch to the precipitation of silicon carbide and (SEG), causesmaking dislocation ormost staking faults in th routine are needed for selective epitaxial growth. TheFrom use there, of RPCVD equipment and that are free from impuri an additional in-situ cleaning chamber or directly into the process chamber, where a final or at least suppress impurities as much as possible, are essential. Several key process steps are examined using a 300 mm cluster RPCVD system at a temperature as low as 700 ◦ C with DCS precursor. The effects of the temperature and environment of the process chamber at the time of loading the wafers are examined

Experimental Details
Ex-Situ Wet DHF Cleaning
In-Situ Hydrogen and
The TEM images ofThe the TEM after wet pre-cleaning and subsequent
Process Chamber Loading Conditions
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call