Abstract

The multibeam mask writer MBM-1000 is developed for semiconductor production for the 5-nm technology node. It is designed to accomplish high patterning resolution with a 10-nm beam and high throughput with blanking aperture array supporting data transfer rate of 300 Gbps and an inline real-time data path. It has better beam resolution than the EBM-9500 and has higher throughput at a shot count of more than 500 Gshot/pass. To further improve patterning resolution, pixel-level dose correction (PLDC) is implemented to MBM-1000. It enhances dose contrast by dose modulation pixel by pixel. Correction efficiency of PLDC is evaluated for linearity correction by simulation with a threshold dose model. It is concluded that PLDC corrects critical dimension linearity even without extra dose modulation and improves dose margin with additional dose modulation of 140%.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call