Abstract

The multi-beam mask writer MBM-2000 is released for the 3 nm technology node. It is designed to expose EUV blanks and leading edge photomasks at high throughput with beamlets of total current 1.6 uA. The curve data format supported by MBF 2.0 enables full-mask writing of curvilinear patterns by reducing data volume and computation cost of rasterizing. To maximize the performance of multi-beam writing, MBM-2000 is equipped with pixel level dose correction (PLDC) which improves pattern fidelity and patterning resolution. In this paper, we have reported and discussed the writing results of MBM-2000.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call