Abstract

Projection lithography using extreme ultraviolet (EUV) light at 13-nm wavelength is expected to achieve production of integrated circuits below 10 nm design-rules. In pursuit of further miniaturization, however, stochastic pattern defect problems have arisen. Here, we discuss the possible impact of spatially inhomogeneous secondary electron (SE) generation on stochastic defects. Two mechanisms are investigated: (1) accidental connections of photon shot noises enhanced by densely localized SE generation and (2) cascading SE generation along photoelectron trajectory traveling from pattern edge into a dark region. Since such defect probabilities are extremely low (typically 10  −  4 to ∼10  −  12), results of Monte Carlo simulation based on classical optical image and electron scattering simulations are converted into probability functions for densities of physical/chemical events such as photon absorption, SE generation, and elementary reaction in chemically amplified resists. Probabilities of pattern formation and of defect generation are modeled using these functions. Results of performance optimization using a multiobjective genetic algorithm show higher stochastic defects probability in EUV than in conventional deep-UV exposure due to larger spatial inhomogeneity in reaction density and existence of SE generation strings. Defect probabilities are strongly dependent on absolute pattern sizes in the two mechanisms, regardless of the resolution capability of imaging systems. Guidelines for suppressing stochastic defects are suggested, such as homogenization of reaction density, material composition for increasing scattering cross-section, and suppression of pattern edge fluctuation.

Highlights

  • In pursuit of further miniaturization of semiconductor integrated circuit devices by projection lithography using extreme ultraviolet (EUV) light at 13-nm wavelength, stochastic pattern defect problems have arisen.[1,2,3] As the feature size of circuit patterns (∼10 nm) is approaching to the size of resist molecule/polymer (1 to 2 nm), nanometer level roughness on pattern edges becomes a problem.[4,5] In contrast, the stochastic defects are fatal patterning failures such as bridging between neighboring lines or breakages of lines

  • Because cutting-edge integrated circuit devices today have more than 1012 critical features per device layer on a 300-mm wafer, such a defect probability will result in an unacceptable level of defect density

  • Because no such problem has been reported for conventional deep UV (DUV) lithography using ArF excimer laser light (193 nm), they are material problems appearing in regime only below 40 nm or problems caused by the differences in exposure wavelength

Read more

Summary

Introduction

In pursuit of further miniaturization of semiconductor integrated circuit devices by projection lithography using extreme ultraviolet (EUV) light at 13-nm wavelength, stochastic pattern defect problems have arisen.[1,2,3] As the feature size of circuit patterns (∼10 nm) is approaching to the size of resist molecule/polymer (1 to 2 nm), nanometer level roughness on pattern edges (often called line edge roughness, LER) becomes a problem.[4,5] In contrast, the stochastic defects are fatal patterning failures such as bridging between neighboring lines or breakages of lines The probability of such defect generations heavily depends on pattern feature sizes[1] and span in a wide range (10−12 to 10−4). Differences in photon energy can affect patterning performances through two aspects, photon number density and reaction mechanism.[6,7,8,9] This paper discusses cascading shot noises and long-range scattered photoelectrons as causes of

Statistical Model of Resist Reactions
Probabilistic Model of Pattern Defects
Results and Discussions
Calculation of Photon Absorption Event Locations
Calculation of Acid Catalytic Reaction Event Locations
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call