Abstract

Stochastic pattern defects are becoming a major concern in the future EUV lithography as their probabilities exponentially increase with decreasing feature size and are highly sensitive to process/mask variations. We speculated that the defect generation closely relates to the spatial localization in three processes; (a) photon absorption, (b) secondary electron (SE) generation from one photon, and (c) catalytic reaction (for chemically amplified resists). We have previously modeled and analyzed stochastic defects based on two mechanisms: accidental connections of spot defects caused from inhomogeneous secondary electron generation (shot noise), and strings of SE generated along long trajectories of photoelectron (mechanism B). These two are extreme cases, however, and real situations are an interplay between these two. In this paper, we highlight the importance of correlation among reactions. Our fully coupled Monte Carlo simulation shows that reactions induced by a particular photon are spatially aggregated to form a cluster and the solubility of polymers within that cluster is governed by this photon when diffusion range ~ average distance between sites of non-correlated SE or acid generations (induced by independent photons). Here, we extend our previous probability models based on Monte Carlo results to include the correlation longer than polymer size by introducing a spatially correlated probability, which explains the impact of correlated reaction on defect generation. Additionally, we also account for the effects of quenchers and densely packed polymers. The model is quantitatively fit reported experimental results using material parameters within practical ranges, and the observed exponential dependences of defect probabilities on delineated feature sizes and on dose-to-sizes are reproduced. Predicted defect probabilities mainly depend on resist feature sizes, regardless of the resolution capability of imaging optics. Though reaction profiles (~spot defect probability profiles) are scaled with shrinking the target size by increasing NA, the area defect probability is obtained as a direct product of spot defect probabilities, which increases with decreasing defect area. To enhance mixing of non-correlated reactions and to decrease defect probabilities, we need to increase the volumetric density of photon absorption events or to increase a diffusion blur. However, the latter practically degrades image profiles and increases defect probabilities. Thus, reaction density required for flipping polymer/molecular solubility and exposure dosage need be increased as well as utilizing quenching effects, in particular when shrinking target size.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call