Abstract

Recently, flexible, wearable and disposable electronics have attracted a lot of attention. Printing enables low-cost fabrication of circuits on flexible substrates. Printed organic and metal oxide thin-film transistors (TFTs) have been researched intensively due to the ease of solution-processing. But their carrier mobility and reliability are inferior to conventional CMOS transistors fabricated with crystalline Si. Printed Si TFTs have also been reported, including amorphous Si and poly-crystalline Si TFTs. Both techniques are based on a precursor of liquid-Si solution. The high temperature required for forming Si film and the low mobility due to randomly positioned grain boundaries inside the channel region are limitations for fabricating high-speed circuits on flexible substrates. In this thesis single-grain Si TFTs with high performance produced at a low temperature (< 350 °C) from a printed liquid-Si solution on a flexible substrate is presented. Applications may include display drivers, flexible memories, printed RFID tags and other high-speed circuits on flexible substrates. Liquid Si is the mixture of a cyclopentasilane (CPS) monomer, UV-polymerized CPS and solvent. It can be spin coated on top of a substrate. Under thermal treatment, the solvent is evaporated, Si-H bonds are broken, and an amorphous Si film is formed. After the film is thermally annealed at 650 °C for dehydrogenation, it is crystallized by a XeCl excimer laser (308 nm) to make location-controlled single grains, using the ?-Czochralski crystallization method. Top-gated Si TFTs are fabricated with the channel inside a grain, and self-alignment source/drain doping by ion implantation is employed in the process. In Chapter 3, the fabrication process is discussed in detail. Due to the absence of grain boundaries in the channel region, the TFTs show carrier mobilities of 423 cm2/Vs for electrons and 118 cm2/Vs for holes, which are higher than those of organic-, metal oxide-, a-Si- or poly-Si TFTs. NMOS TFTs show stable behavior under gate and drain stress, and negligible hysteresis effect. On the other hand, PMOS TFTs show trap generation and carrier injection from the gate. To meet the temperature requirements for fabrication on flexible substrates, a low-temperature (<350 °C) process is demonstrated in Chapter 4. With doctor blade coating of pure CPS monomers, curing using UV light, annealing at 350 °C and dehydrogenating by excimer laser at room temperature, an amorphous film with low hydrogen concentration can be formed on top of a polyimide substrate without damaging the substrate. Single-grain Si TFTs are fabricated using a low-temperature a-Si film, and the carrier mobility is 460 cm2/Vs for electrons and 121 cm2/Vs for holes. This is the first time that single-grain Si TFTs are fabricated on top of a flexible substrate. By etching away the polyimide substrate, the devices are released from the supporting Si wafer, and are then transferred onto a 125 ?m-thick PEN foil, becoming flexible. The bending diameter, which is the diameter one can bend until device destruction, is as low as 6 mm. An improved substrate transfer process is investigated in Chapter 5. By placing the devices between two layers of 10-?m-thick polyimide, the devices could be bent to a diameter of 3 mm. They survive 140 bending-releasing cycles at 3 mm. Theoretically they function after many more cycles. SiO2, as the most important dielectric in the semiconductor industry, is also investigated for low-temperature fabrication from the same liquid-Si solution. SiO2 is fabricated at 350 °C, using a doctor-blade coating method and oxidation of the incompletely thermally annealed a-Si in oxygen plasma. As shown in Chapter 6, the atomic ratio O/Si of the resulting oxide film is 1.66, and the breakdown electric field strength is 1.1 MV/cm. Besides being a dielectric layer, the Si-rich SiO2 film can be crystallized by an excimer laser to form nanocrystalline Si dots for flash memory applications. This thesis deals with liquid-Si technology for high-speed circuits on flexible substrates. The work focuses on flexible single-grain Si TFTs and low-temperature silicon oxide. Upon satisfactory performance of the resulting devices, future work could be done on new processes for lower-temperature fabrications, new substrate transfer methods for more flexible devices and new circuit designs for complex digital or analog circuits.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call