Abstract

Wafer singulation in the semiconductor industry has transformed from single step, straight forward diamond blade cutting of the product wafer into a process flow which may involve several different steps in different orders [1]. Nowadays, lasers play a central role in these schemes, involving ablation-based dicing or grooving, cold-ablation regimes and sub-surface material modification methods.Over the years, laser singulation and grooving has proven to provide solutions for different substrate materials and front- or backside layers [2]. Obviously, central topics for a production environment are the reliability and cost. Initial limitations on the application of the technique, such as a lowered material die strength, a large heat affect zone (HAZ) alongside the kerf, sidewall contamination and high burr along the surface edge of the cut have been partially or totally overcome. For example, for RFIC devices based on GaAs, multibeam laser singulation was the enabler for thinner substrates and higher production yields, and the elimination of process steps when using full metal wafer backside without etched streets cutting costs. In the production flow, it has been combined with wet etch processing steps to increase material die strength and remove burr. Similarly, such advantages can be exploited when combining multibeam laser processing of Si-based substrates with remote plasma etching schemes [3].To tackle the challenges of today and tomorrow in terms of wafer stack build and advanced packaging technologies, we are looking at innovative approaches and similar combinations of process steps. The introduction of ultra-short pulsed (USP) laser systems for wafer grooving has shown an important qualitative step forward. Due to the different temporal regime compared to nanosecond pulsed lasers, a different material removal mechanism is active. This results in higher die strengths, lower burr and better edge quality, matching the singulation requirements of different semiconductor market segments. For die-to-wafer hybrid bonding processing, burr free die edges and high die strength are essential. Here, the qualitative edge of USP multibeam laser grooving in removal of metals, polymers and dielectrics from the dicing street make a combination with plasma dicing a strong proposition. In plasma dicing, different plasma etch steps can be applied for the full or partial singulation of the wafer [4]. Typically, the bulk silicon is removed with the so-called Bosch-process. As mask removal and opening of the dicing street are essential to this, it implies that pairing USP grooving and plasma dicing can provide the decisive advantage for future advanced packaging approaches.The latest results in a range of applications of laser-based singulation of wafers will be presented with or without the combination of other process steps.Keywords: Laser singulation, semiconductor, etching, plasma dicing, hybrid bonding. [1] W.-S. Lei, A. Kumar, and R. Yalamanchili, Die singulation technologies for advanced packaging: A critical review, Journal of Vacuum Science and Technology B, vol. 30, no. 4, p. 040801, 2012.[2] M. R. Mark, A review of laser ablation and dicing of Si wafers, Precision Engineering, 73 377–408, 2022.[3] R. Evertsen, N. Beckers, S. Wang and R. Van der Stam, Remote Plasma Etching of Backend Semiconductor Materials for Reliable Packaging, Solid State Phenomena , 1662-9779, Vol. 314, 312-317.[4] R. Barnett; O. Ansell and D. Thomas, Considerations and benefits of plasma etch based wafer dicing, Electronics, Packaging Technology Conference (EPTC 2013), 2013 IEEE 15th 569–574.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call