Abstract

Source mask optimization (SMO) is widely used to make state-of-the-art semiconductor devices in high-volume manufacturing. To realize mature SMO solutions in production, the Intelligent Illuminator, which is an illumination system on a Nikon scanner, is useful because it can provide generation of freeform sources with high fidelity to the target. Proteus SMO, which employs co-optimization method and an insertion of validation with mask three-dimensional effect and resist properties for an accurate prediction of wafer printing, can take into account the properties of Intelligent Illuminator. We investigate an impact of the source properties on the SMO to pattern of a static random access memory. Quality of a source made on the scanner compared to the SMO target is evaluated with in-situ measurement and aerial image simulation using its measurement data. Furthermore, we discuss an evaluation of a universality of the source to use it in multiple scanners with a validation and with estimated value of scanner errors.

Highlights

  • Source mask optimization (SMO) is being utilized for advanced semiconductor devices below 28-nm node in low k1 immersion lithography in order to obtain a sufficient process window (PW)

  • We have evaluated an impact of an ability of source making with various source properties of Intelligent Illuminator on SMO

  • Proteus SMO, which takes into account 3-D mask, resist property with rigorous calculation, scanner fingerprint of actual scanner data, and source properties of Intelligent

Read more

Summary

Introduction

Source mask optimization (SMO) is being utilized for advanced semiconductor devices below 28-nm node in low k1 immersion lithography in order to obtain a sufficient process window (PW). We have developed the Intelligent Illuminator, which is a part of the illumination system on the NSR-S621D scanner, with many degrees of adjustment freedom of intensity distribution in source images It can provide control of optical proximity effect (OPE) matching between different exposure tools with pupilgram modulation and OPE matching software called “OPE-Master.”[5,6] An OPE accuracy of 0.7-nm root mean square (RMS) and an ability of actual source prediction from SMO source with software called “Pupil Predictor”[7] meets the SMO demands. By coupling of Proteus SMO and S-Litho, a practical SMO solution with accurate wafer printing without any subresolution assist feature (SRAF) printing can be achievable When calculating this kind of solution, one must remember that a scanner may have some slight errors in source making in an illumination unit even though it has superior critical dimension (CD) controllability around 1 nm of RMS with the high degree of pupilgram freedom.

Standard Flow of SMO
SMO with Various Constraints
Evaluation of Intelligent Illuminator Source
Ability of Tool-to-Tool Matching
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call