Abstract

The half-tone phase shift mask (PSM) has been suggested for better imaging performances like image contrast, NILS and H-V bias compared to the binary mask (BIM) in EUV lithography. In this paper, we measured imaging performance of a fabricated half-tone attenuated PSM with Coherent Scattering Microscopy (CSM) and the results were compared with simulation data obtained by EM-suite tool. We prepared a half-tone attenuated PSM which has 12.7% reflectivity and 180° phase shift with absorber stack of 16.5mn-thick TaN absorber and 24nm-thick Mo phase shifter. With CSM, an actinic inspection tool, we measured the imaging properties of PSM. The diffraction efficiencies of BIM were measured as 31%, 36%, and 44% for 88 nm, 100 nm, and 128 nm mask CD, respectively, while those of PSM were measured as 45%, 62%, and 81%. Also the aerial image at wafer level obtained by CSM with high volume manufacturing tool’s (HVM) illumination condition (NA=0.33, σ=0.9) showed higher image contrast and NILS with phase shift effect. And the measured data were consistent with the simulation data.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.