Abstract

In this paper, we suggest an optimal attenuated phase shift mask (PSM) structure for extreme ultra violet lithography (EUVL) to minimize mask shadowing effect without loss of image contrast. The attenuated PSM proposed in this study is based on Fabry-Perot structure that consists of tantalum nitride (TaN) attenuator, Al<sub>2</sub>O<sub>3</sub> spacer, and molybdenum (Mo) phase shifter. Deep ultra violet (DUV) reflectivity can be lowered down to 5% at 257nm for higher efficiency in DUV inspection process through the optimal thickness combination of TaN and Al<sub>2</sub>O<sub>3</sub>. Since the thickness variation of Mo dose not affect the DUV reflectivity, the phase shift effect can be controlled by Mo thickness only. As a result, attenuated PSM with phase shift of 180&plusmn;6° and absorber reflectivity of 9.5% could be obtained. The total thickness of absorber stack is only 52nm. The analysis of aerial image was performed using SOLID-EUV simulation tool. The attenuated PSM showed steeper edge profile and higher image contrast compared to binary mask. Imaging properties including horizontal-vertical (H-V) critical dimension (CD) bias and pattern shift depending on both pattern size and process condition were compared to the binary mask using aerial image simulation. Attenuated PSM showed less H-V CD bias compared to that of binary mask. The 32nm dense pattern shows larger H-V CD bias than 45nm one due to larger shadowing of smaller pattern size. Especially, 32nm dense pattern at binary mask has very large H-V CD bias. The H-V CD bias was also affected by the change of focus. However, the H-V bias variation with defocus was below 1nm within the process latitude. We also obtained the result that the pattern shift is less sensitive than H-V CD bias with the optical property of absorber in EUVL.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call