Abstract

The imaging performance of a half-tone phase shift mask (PSM) has been analyzed using coherent scattering microscopy (CSM), which allows analysis of the actinic characteristics of an extreme ultraviolet (EUV) mask such as its reflectivity, diffraction efficiency, and phase information. This paper presents the 1st experimental result showing the effect of 180° phase difference between the absorber and reflector in EUV mask. This reveals that a PSM offers a 46% improvement in 1st/0th diffraction efficiency and 14% improvement in image contrast when compared to a binary intensity mask (BIM). The horizontal-vertical critical dimension (H-V CD) bias is also reduced by 1.37 nm at 22 nm line and space (L/S) patterns. Since the performance of PSM can be evaluated without a wafer patterning process, CSM is expected to be a useful inspection tool for the development of novel EUV masks.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call