Abstract

Nowadays, many new low power ASICs applications have emerged. This new market trend made the designer’s task of meeting the timing and routability requirements within the power budget more challenging. One of the major sources of power consumption in modern integrated circuits (ICs) is the Interconnect. In this paper, we present a novel Power and Timing-Driven global Placement (PTDP) algorithm. Its principle is to wrap a commercial timing-driven placer with a nets weighting mechanism to calculate the nets weights based on their timing and power consumption. The new calculated weight is used to drive the placement engine to place the cells connected by the critical power or timing nets close to each other and hence reduce the parasitic capacitances of the interconnects and, by consequence, improve the timing and power consumption of the design. This approach not only improves the design power consumption but facilitates also the routability with only a minor impact on the timing closure of a few designs. The experiments carried on 40 industrial designs of different nodes, sizes, and complexities and demonstrate that the proposed algorithm is able to achieve significant improvements on Quality of Results (QoR) compared with a commercial timing driven placement flow. We effectively reduce the interconnect power by an average of 11.5% that leads to a total power improvement of 5.4%, a timing improvement of 9.4%, 13.7%, and of 3.2% in Worst Negative Slack (WNS), Total Negative Slack (TNS), and total wirelength reduction, respectively.

Highlights

  • Power consumption has become a major concern in diverse areas

  • In order to evaluate the effectiveness of the proposed weighting process, we developed the proposed weighting approach in TCL programming language and integrated it in the flow with α =0.25 as shown in Figure 1, and we compared the generated results with a commercial EDA tool (Nitro-SoC6)

  • Our baseline is the default placement generated by the default Nitro-SoC6 Timing-Driven Placement (TDP) which runs the global placement while concurrently optimizing for wirelength, spread, and timing

Read more

Summary

A Novel Net Weighting Algorithm for Power and Timing-Driven Placement

Information, Communication, and Embedded Systems (ICES) Team, University Mohammed V, Rabat, 10010, Morocco. The new calculated weight is used to drive the placement engine to place the cells connected by the critical power or timing nets close to each other and reduce the parasitic capacitances of the interconnects and, by consequence, improve the timing and power consumption of the design. This approach improves the design power consumption but facilitates the routability with only a minor impact on the timing closure of a few designs. We effectively reduce the interconnect power by an average of 11.5% that leads to a total power improvement of 5.4%, a timing improvement of 9.4%, 13.7%, and of 3.2% in Worst Negative Slack (WNS), Total Negative Slack (TNS), and total wirelength reduction, respectively

Introduction
Related Work
The Proposed Power and Timing-Driven Placement Flow
Experimental Results
Conclusion & Perspective
Results
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call