Abstract

Due to low activity in Internet of Things (IoT) applications, systems tend to leverage low power modes in order to reduce their power consumption. Normally-off computing thus arose, consisting in having turned off most part of a system’s power supply, while dynamically turning on components as the application needs it. As wake up sources may be diverse, simple controllers are integrated to handle smart wake up schemes. Therefore, to prevent overconsumption while transitioning to running mode, fast wake up sequences are required. An asynchronous 16-bit Reduced Instruction Set Computer (RISC) Wake-up Controller (WuC) is proposed demonstrating 50.5 ns@9.2 Million Instructions Per Second (MIPS)@0.6 V wake-up latency, drastically reducing the overall wake-up energy of IoT systems. A clockless implementation of the controller saves the booting time and the power consumption of a clock generator, while providing high robustness to environmental variations such as supply voltage level. The WuC is also able to run simple tasks with a reduced Instruction Set Architecture (ISA) and achieves as low as 11.2 pJ/inst @0.5 V in Fully Depleted Silicon On Insulator (FDSOI) 28 nm.

Highlights

  • In order to reduce the power consumption of Internet of Things (IoT) applications and due to low activity schedule for the nodes of such applications, low power modes have been integrated in microcontrollers

  • The event-driven scheme provides robustness to operating parameters such as temperature, supply voltage level, or process variations, while implementing automatic sleep mode

  • Contrary to other approaches (Table 1) requiring voltage regulators and clock generators, Contrary to other approaches (Table 1) requiring voltage regulators and clock generators, the the proposed asynchronous Wake-up Controller (WuC) can start-up at very low voltage without requiring any stable proposed asynchronous WuC can start-up at very low voltage without requiring any stable voltage voltage supply

Read more

Summary

Introduction

In order to reduce the power consumption of Internet of Things (IoT) applications and due to low activity schedule for the nodes of such applications, low power modes have been integrated in microcontrollers. Reducing the clock frequency or the supply voltage level is an efficient way to obtain low power systems for the IoT [1]. Low energy IoT applications require low leakage during idle mode and high energy efficiency during computing phases. Idle mode is defined thereafter as a state where a processor is not active but ready to shift into high power execution mode. Proposed microcontroller subsystems still suffer frombyhigh power itconsumption in proposed microcontroller subsystems still suffer from high power consumption idle mode,these due idle mode,IoT due to time-keepers and always-on sub-blocks. When in deep sleepthem or idle modes, these systems suffer systems still suffer high wake-up latencies preventing from significant power still reduction from high wake-up latencies preventing them from significant power reduction during opportunities during applicative sleep phases [5].

Wireless
Asynchronous Wake up Controller Architecture
Measurement
V while the transistors-based biasing voltage range is from
Discussion
KB LVT SRAM
Materials and Methods
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call