Abstract

A 100 Gb/s quad-lane SerDes receiver with a phase-interpolator (PI)-based quarter-rate all-digital clock and data recovery (CDR) is presented. The proposed CDR utilizes a multi-phase multiplying delay-locked loop (MDLL) to generate the eight-phase reference clocks, which achieves multi-phase frequency multiplication with a small area and less power consumption. The shared MDLL generates and distributes eight-phase clocks to each CDR. The proposed CDR uses a new initial phase tracker that uses a preamble to achieve a fast lock time of about 12 ns and to provide a constant output data sequence. The CDR utilizes quarter-rate 2x-oversampling architecture, and the PI controller is designed full custom to minimize the loop latency. To improve the dithering jitter performance of the recovered clock, the decimation factor of the CDR can be adjustable. Also, a new continuous-time linear equalizer (CTLE) receiver was adopted to reduce power consumption and achieved a data rate of 25 Gb/s/lane. The proposed SerDes receiver with a digital CDR is implemented in 40 nm CMOS technology. The 100 Gb/s four-channel SerDes receiver (4 CTLEs + 4 CDRs + MDLL) occupies an active area of only 0.351 mm2 and consumes 241.8 mW, which achieves a high energy efficiency of 2.418 pJ/bit.

Highlights

  • The role servers and data centers to role of of optical opticalor orelectrical electricalnetworks networksthat thatenable enablehigh-performance high-performance servers and data centers perform energy proportional computing [1] is increasing day by day day. by there is anthere increasing to perform energy proportional computing [1] is increasing day

  • The proposed 100 Gb/s quad-lane SerDes receiver was implemented in a 40 nm CMOS process

  • To achieve an aggregate data rate of 100 Gb/s, the proposed four-channel SerDes receiver consumes a total power of 241.8 Multi-phase gen. power (mW)

Read more

Summary

Introduction

The role servers and data centers to role of of optical opticalor orelectrical electricalnetworks networksthat thatenable enablehigh-performance high-performance servers and data centers perform energy proportional computing [1] is increasing day by day day. by there is anthere increasing to perform energy proportional computing [1] is increasing day. It has become common to increase data throughputs using multiple lanes (or channels) of high-speed serial links as the aggregate I/O bandwidth of a single chip exceeds hundreds of Gb/s. The proposed all-digital quarter-rate PI-based CDR utilizes a multiplying delay-locked loop (MDLL) instead of the usual PLL to generate the eight-phase high-frequency clocks required for the PI operation [24,33]. Both frequency multiplication and eight-phase clock generation are performed at the same time, thereby achieving an area and power reduction and low-jitter characteristics. The restMulti-Lane of this paperSerDes is organized as follows

Proposed
Proposed PI Controller
Proposed CTLE of 16
Experimental Results
Experimental
11. Locking process ofofthe
6.25 GHz which has
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call