Abstract

AMD’s “Zeppelin” system-on-a-chip (SoC) combines eight high-performance “Zen” cores with a shared 16-MB L3 Cache, along with six high-speed I/O links and two DDR4 channels, using the infinity fabric (IF) to provide a high speed, low latency, and power-efficient connectivity solution. This solution allows the same SoC silicon die to be designed into three separate packages and provides highly competitive solutions in three different market segments. IF is critical to this high-leverage design re-use, utilizing a coherent, scalable data fabric (SDF) for on-die communication, as well as inter-die links, extending up to eight dies across two packages. To support this scalability, an energy efficient, custom physical-layer link was designed for in-package, high-speed communication between the dies. Using an additional scalable control fabric (SCF), a hierarchical power and system management unit (SMU) was used to monitor and manage a distributed set of dies to ensure the products stay within infrastructure limits. It was essential that the floor plan of the SoC was co-designed with the package substrate. The SoC used a 14-nm FinFET process technology and contains 4.8B transistors on a 213 mm2 die.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call