Abstract

Ultra Low-K films are used in advanced technologies as an interlayer dielectric in Cu processing. Due to its high porosity, it poses a lot of process challenges. This paper discusses one challenge it posed for reliability of vertical natural capacitors (VNCAP). When a new Cu-CMP slurry was evaluated for its improved performance for defects and uniformity, degradation of the time dependent dielectric breakdown (TDDB) lifetime for VNCAP was observed. Studies have been performed to characterize the interaction of the deposited film to the CMP process. In the course of this investigation, it was observed that the post-CMP clean chemistry impacts the TDDB lifetime. By characterizing the ULK surface post-CMP, and establishing inline correlations to TDDB lifetime, a new process was identified quickly to improve the TDDB lifetime by 2 orders.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call