Abstract

A real time multiprocessor chip paradigm is also called a Network-on-Chip (NoC) which offers a promising architecture for future systems-on-chips. Even though a lot of Double Tail Sense Amplifiers (DTSA) are used in architectural approach, the conventional DTSA with transceiver exhibits a difficulty of consuming more energy and latency than its intended design during heavy traffic condition. Variable Energy aware sense amplifier Link for Asynchronous NoC (VELAN) is designed in this research to eliminate the difficulty, which is the combination of Variable DTSA circuitry (V-DTSA) and Transceiver. The V-DTSA circuitry has following components such as bootable DTSA (B-DTSA) and bootable clock gating DTSA (BCG-DTSA), Graph theory based Traffic Estimator (GTE) and controller. Depending upon the traffic rate, the controller activates necessary DTSA modules and transfers information to the receiver. The proposed VELAN design is evaluated on TSMC 90 nm technology, showing 6.157 Gb/s data rate, 0.27 w total link power and 354 ps latency for single stage operation.

Highlights

  • NoC is a booming area for designing various applications like multimedia, telecommunication, and real time task [1]

  • 2) The power consumption and Link power are considered recursively for every communication path starting from the terminus section

  • 4) Data rate is measured, based on all communication paths beginning of the terminus section

Read more

Summary

Introduction

NoC is a booming area for designing various applications like multimedia, telecommunication, and real time task [1]. In addition to the transmitter section (TXS), the pre emphasis capacitance (PEC) is added for high speed and energy reduction in on-chip communication, it requires DC bias circuits at the receiver section (RXS). To overcome this issue, voltage sense amplifier is introduced and tested in 90 nm CMOS cross coupled circuit [6]. User can’t identify the worth of voltage SA, so it is refined into Double Tail Sense Amplifiers (DTSA) This DTSA with transceiver consists of PEC at the transmitter and DTSA at RXS [7]. The Proposed Performance metrics like delay, datarate, energy and static power consumption are observed and compared with conventional works

Objectives
Results
Conclusion
Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call