Abstract

This paper presents new methods of implementing different kinds of Amplitude Shift Keying (ASK) and Quadrature Amplitude Modulation (QAM) signal modulators using Field Programmable Gate Array (FPGA). The implemented ASK modulators are On-Off Keying (OOK), Binary ASK (BASK), and 4ASK while the implemented QAM modulators are 4QAM and 16QAM. The targeted board for implementation was a ZYBO board which has a Zynq-7000 FPGA. One of the main tasks in implementing any digital transmitter including ASK is the generation of the sine wave carrier. In order to do that, a 24-bit phase accumulator and Look Up Table (LUT) has been used based on Direct Digital Synthesizer (DDS) technique. After getting the carrier generated, the ASK modulated signal is nothing more than the carrier signal itself at different amplitudes. For the implementation of QAM modulators, two sinusoidal carriers are needed. To get the two carriers with 90-degree phase shifts, two 24-bit accumulators working on the rising and falling edge of the main system clock were used. These two carriers were used as inputs to the multiplexer circuit which used the binary information data as a selector. Based on the incoming data, different combinations of the carriers are generated. The resultant combinations represent the QAM modulated signal. The implementation of the entire systems was done in Very high speed integrated circuit Hardware Description Language (VHDL) without the help of Xilinx System Generator or DSP Builder Tools. The implemented systems were downloaded onto the ZYBO board in order to evaluate the utilization of available resources. Low utilizations were achieved in all implemented examples.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call