Abstract

Most of the cores in future many-core system-on-chip (MCSoC) will be off or `dark' to manage the high power density and chip temperature of future chips. This problem is called dark silicon problem. This paper presents a task scheduling technique for optimizing the MCSoC performance under temperature constraint for dark silicon. The proposed technique uses both task migration and dynamic voltage frequency scaling (DVFS) to optimize many-core system performance, while the system temperature is kept in a safe operating range. Task migration improves system performance and balances heat distribution among cores by moving tasks from active cores to dark cores, while DVFS reduces core speed in case of thermal limit violation. Simulation results show that using both task migration and DVFS techniques reduces peak temperature by 12° C and keeps the average system temperature under the thermal limit, while the execution time increased by 16% compared with 50% when only DVFS technique is used.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call