Abstract

The authors describe the temporal evolution of the surface and near-surface regions of a porous SiCOH ultralow k (ULK) dielectric during exposure under sidewall-like exposure conditions to various plasma processing environments. The authors studied the exposure of the ULK material to Ar plasma, C4F8/Ar-based etching plasma, and O2 or CO2 ashing plasmas, as well as various sequences of these processes. Real-time monitoring of the ULK surfaces during plasma processing was performed by in situ ellipsometry employing a novel gap structure. Additionally, changes in ULK surface properties were characterized by x-ray photoelectron spectroscopy and selective dilute hydrofluoric acid wet etching in combination with ex situ ellipsometry measurements. Pristine ULK material exposed to O2 plasma without ion bombardment shows the formation of a near-surface porous layer. For exposure of the ULK to CO2 plasma operated at comparable plasma operation conditions, the modification depth for a given exposure time is reduced relative to O2, but otherwise an identical ellipsometric trajectory is followed. This is indicative of a similar ULK damage mechanism for the two discharges, although at different rates. Energetic (∼400 eV) ion bombardment on the surface of ULK with line-of-sight Ar plasma exposure introduced a ∼12 nm thick SiO2-like densified layer on the ULK surface meanwhile sputtering off the ULK material. The sidewall-like modifications of ULK due to metastable Ar, if present, were too subtle to be measurable in this article. For ULK exposed under sidewall-like geometry to C4F8/Ar-based etching plasma, fluorocarbon quickly permeated into the subsurface region and showed saturation at a mixed layer thickness of about 14 nm. For additional exposure to O2 or CO2 discharges, a strong decrease of the CO2 plasma induced ULK surface modifications with increasing fluorocarbon (FC) film thickness was found, indicative of surface protection by FC surface deposition along with pore-sealing by the FC material. Attempts to increase the protective nature of the FC film by additional plasma processing, e.g., by exposure to Ar or He plasma after FC plasma etching, did not reduce CO2 plasma induced ULK surface modifications further.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call