Abstract

Test sets that consist of both broadside and skewed-load tests provide improved delay fault coverage for standard-scan circuits. This study describes a static test compaction procedure for such test sets. The unique feature of the procedure is that it can modify the type of a test (from broadside to skewed-load or from skewed-load to broadside) if this contributes to test compaction. Given a test set W, the basic static test compaction procedure described in this study considers for inclusion in the compacted test set both a broadside and a skewed-load test based on every test w ∈ W. It selects the test type that detects the higher number of faults. An improved procedure considers a broadside and a skewed-load test based on a test w ∈ W only if w detects a minimum number of faults (without changing its type). Experimental results demonstrate that the static test compaction procedure is typically able to reduce the sizes of mixed test sets further than a procedure that does not modify test types. The procedure modifies the types of significant numbers of tests before including them in the compacted test set.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call