Abstract

Silicon nitride (Si3N4) etching using CF4/O2 mixed with N2 has become very popular in 3D NAND flash structures. However, studies on Si3N4 dry etching based on optical emission spectroscopy (OES) are lacking; in particular, no study has reported the use of OES for analyzing N2-mixed CF4/O2 plasma. Thus, this study demonstrates an OES-based approach for analyzing a mixed-gas plasma for etching Si3N4 thin films. The state of each single gas plasma of CF4, O2, and N2 as well as that of mixed plasmas of heterogeneous gases CF4/O2, CF4/N2, and O2/N2 was investigated to analyze the mixed-gas plasma. Furthermore, the amount of N2 in the CF4/O2 plasma varied from 0 to 8 sccm. The relationship between the OES analysis results and the Si3N4 etch rate was subsequently established using Si3N4 film etching, and the explanation was verified through a chemical reaction modeling and surface reaction. Therefore, our study confirmed the alteration in chemical species and quantity that occurred when N2 was added to CF4/O2 plasma and the effect of the alteration on Si3N4 etch.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call