Abstract

This paper introduces a simulation work of Time-Domain Reflectometry (TDR). The TDR analysis begins with the propagation of a step or impulse of energy into a system and the subsequent observation of the energy reflected by the system. By analyzing the magnitude, duration and shape of the reflected waveform, the nature of the impedance variation in the transmission system can be determined [3, 4]. In order to understand the principle of time-domain reflection, I built a simulation platform to simulate the test procedure. A programmable signal generator was used to generate an actual narrow pulse physical signal. The reflection signal was captured by a high speed oscilloscope. Test data was read into computer by user-defined software. In the last stage, Matlab was used to carry out the data processing to get the interface position. The comparison between the calculated and the actual interface position deepen the understanding of the principle of time-domain reflection.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call