Abstract

ABSTRACT In recent years, deep reactive ion etching (DRIE) has become a key process in the fabrication of microelectromechanical syst ems (MEMS). By combining th e etching power of reactive ion etching and sidewall passivation, it provides a precise anisotropic etch that can be used to create very deep etches as well as very narrow structures in silicon. The standard Bosch process for DRIE alternates between two steps: etching and passivation. This combination provides the ability to etch very deep, vertical structures. In this article, silicon was etched with the Bosch process and cryogenic processes for patterning high-aspect-ratio features. The two leading techniques were compared. The influe nces of process parameters on the aspect ratio, etching rate and sidewall roughness of silicon were studied. Strong dependence of etch rate on loading was observed. The result showed that the etching rate rely on the pr ocess parameters. The aspect ratio of 23 was obtained and is able to be further improved. Keywords: MEMS; DRIE; Silicon etching

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call