Abstract

Xilinx Virtex-II family FPGAs support an advanced low-skew clock distribution network with numerous global clock nets to support high-speed mixed frequency designs. Digital Clock Managers in combination with Global Clock Buffers are already in place to generate the desired frequency and to drive the clock networks with different sources, respectively. Currently, almost all designs run at a fixed clock frequency determined statically during design time. Such systems cannot take the full advantage of partial and dynamic self-reconfiguration. Therefore, we introduce a new methodology that allows the implemented hardware to dynamically self-adopt the clock frequency during runtime by reconfiguring the Digital Clock Managers. We also present a method for online speed monitoring which is based on a two-dimensional online routing. The created speed maps of the FPGA area can be used as an input for the dynamic frequency scaling. Figures for reconfiguration performance and power savings are given. Further, the tradeoffs for reconfiguration effort using this method are evaluated. Results show the high potential and importance of the distributed dynamic frequency scaling method with little additional overhead.

Highlights

  • Xilinx Virtex FPGAs have been designed with high-performance applications in mind

  • If a frequent and immediate switching is necessary, for example, when data arrives in burst and between burst the Organic Processing Cells (OPCs) wants to toggle between shut-off and maximal performance, the method needs to be extended

  • In the preceding section we presented the method to reconfigure the Digital Clock Managers (DCMs) on Xilinx Virtex-II FPGAs during runtime

Read more

Summary

Introduction

Xilinx Virtex FPGAs have been designed with high-performance applications in mind. They feature several dedicated Digital Clock Managers (DCMs) and Digital Clock Buffers for solving high-speed clock distribution problems. For minimum power the required throughput of the design unit determines the lower boundary of the possible clock frequency. Among them a Power Management Unit is able to perform dynamic frequency scaling (DFS) on OPC level. It can control and adjust performance and power consumption of the cell according to the actual computational demands of the application and the critical path of the cell’s data path. In [5], we presented a prototype implementation of the DodOrg architecture on a Virtex FPGA, where it is possible to dynamically change the cells data path through a 2dimensional partial and dynamic reconfiguration.

Related Work
Xilinx Clock Architecture
BUFGMUX
Organic System Architecture
Speed Monitoring
Experiment 1
Experiment 2
Experiment 3
Power and Resource Considerations
Findings
Summary and Future Work
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call