Abstract

Chemical mechanical planarization (CMP) is a wafer-surface-polishing planarization technique based on a wet procedure that combines chemical and mechanical forces to fully flatten materials for semiconductors to be mounted on the wafer surface. The achievement of devices of a small nano-size with few defects and good wafer yields is essential in enabling IC chip manufacturers to enhance their profits and become more competitive. The CMP process is applied to produce many IC generations of nanometer node, or those of even narrower line widths, for a better performance and manufacturing feasibility. Slurry is a necessary supply for CMP. The most critical component in slurry is an abrasive particle which affects the removal rates, uniformity, defects, and removal selectivity for the materials on the wafer surface. The polishing abrasive is the source of mechanical force. Conventional CMP abrasives consist of colloidal silica, fume silica or other inorganic polishing particles in the slurries. We were the first to systematically study nanoparticles of the polymer type applied in CMP, and to compare traditional inorganic and polymer nanoparticles in terms of polishing performance. In particular, the polymer nanoparticle size, shape, solid content dosing ratio, and molecular types were examined. The polishing performance was measured for the polishing removal rates, total defect counts, and uniformity. We found that the polymer nanoparticles significantly improved the total defect counts and uniformity, although the removal rates were lower than the rates obtained using inorganic nanoparticles. However, the lower removal rates of the polymer nanoparticles are acceptable due to the thinner film materials used for smaller IC device nodes, which may be below 10 nm. We also found that the physical properties of polymer nanoparticles, in terms of their size, shape, and different types of copolymer molecules, cause differences in the polishing performance. Meanwhile, we used statistical analysis software to analyze the data on the polishing removal rates and defect counts. This method helps to determine the most suitable polymer nanoparticle for use as a slurry abrasive, and improves the reliability trends for defect counts.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call