Plasma enhanced atomic layer deposition by means of an Anode Layer Ion Source for electronics packaging applications
Since the successful introduction in the Western economic area of Atomic Layer Epitaxy (ALE) by Suntola's team [1] the technique more extensively known as Atomic Layer Deposition (ALD) has been slowly gaining acceptance in the field of thin film deposition [2]. There are many benefits of ALD, however, in terms of deposition rates and management of reactive gas species in complex 3D structures (such as Through Silicon Vias) there is still a long road ahead. In addition, typical ALD coatings require high temperature on substrates in order to promote surface chemical reaction between surface and incoming gas species. Sometimes a substrate temperature cycling is also required. These factors in turn produce stress on the deposited films. Some of the interesting 3D features of semiconductor devices could be very sensitive to processing conditions such as those involving ALD thermal cycles, for that reason Plasma Enhanced ALD (PEALD) techniques are of interest. PEALD has been introduced in order to lower the temperature requirements for the ALD process and also in order to control the properties of the ALD deposited film. The industrialization of such process presents a number of challenges. In PEALD, it is of interest to control the nature and degree of interaction of such plasmas with the surface chemistry. In novel wafer level electronic packaging technologies using TSVs that require high aspect ratio penetration plasmas could find difficult to penetrate so that effective chemical reaction is achieved. Standard deposition techniques show normally bad step coverage. A possible solution would be the use of medium energy ion beams in order to promote the chemical reactivity of the layering at deep trenches for example. For that reason, plasma sources which can control the energy of the ion beam are of special interest. One of those sources are the so called Anode Layer Ion Sources (ALIS), which can be extended in such a way that could cover very large areas. The results of the investigation and use of ALIS in PEALD depositions on silicon trenches will be presented.
10
- 10.1021/jp505653u
- Oct 23, 2014
- The Journal of Physical Chemistry C
201
- 10.1002/cvde.201402012
- Oct 15, 2014
- Chemical Vapor Deposition
- Research Article
107
- 10.1149/1.3560197
- Mar 23, 2011
- Journal of The Electrochemical Society
We have employed plasma-enhanced and thermal atomic layer deposition (ALD) within the temperature range of 50–150°C for the deposition of ultra-thin (10–50 nm) Al2O3 films on 100Cr6 steel and aluminium Al2024-T3 alloys. [Al(CH3)3] was used as the precursor with either an O2 plasma or water as co-reactants. Neutral salt spray tests showed that the thicker films offered the best corrosion-resistance. Using cyclic voltametry, the 50 nm films were found to be the least porous (<0.5%). For 10 nm thick films, plasma-enhanced ALD afforded a lower porosity and higher film density than thermal ALD. ToF-SIMS measurements on 100Cr6 showed that the main ‘bulk’ of the films contained very few impurities, but OH and C were observed at the interfaces. TEM confirmed that the films were conformal on all substrates and the adhesion was excellent for the films deposited by plasma-enhanced ALD but not for thermal ALD, as delamination was observed. On the basis of these and other results, the prospects of the application of ALD films for corrosion protection, and the use of plasma-enhanced ALD to promote their nucleation, is discussed.
- Research Article
- 10.1149/ma2015-02/26/996
- Jul 7, 2015
- Electrochemical Society Meeting Abstracts
We report on an alternative, atomic layer deposited (ALD) TaN barrier scheme for Cu interconnects for 14nm technology node and beyond, i.e., 64nm pitch and/or smaller interconnects. With VLSI integration requiring denser packing of interconnects, conformal fill of progressively narrower trenches and vias with high aspect ratio, presents tough challenge for line-of-sight physical vapor deposition processes. ALD overcomes these gap-fill challenges but has disadvantages of low throughput, chemical residues and relatively lower density of ALD barriers for effective blocking of O2and Cu diffusion. From gap-fill perspective, ALD films enable ultrathin, conformal barrier with reduced problems of overhang and large bottom thickness, typical of physical vapor deposited (PVD) films. Reduced bottom-thickness enables via-contact resistance reduction and less overhang improves gap-fill, while maximizing Cu volume in a trench/via structure. Our blanket film studies show that ALD films are 10-15% less dense compared to Ta-rich PVD films, and more importantly only desired low-resistance alpha-Ta nucleates on ALD films vs. thin PVD films. The conformality of ALD TaN as well as the nucleation of alpha-Ta on it form the basis of via contact resistance reduction, leading to performance enhancement.A plasma-enhanced ALD (PEALD) process helps increase density and improves the hermeticity of the barrier. But PEALD can cause dielectric damage and lead to TDDB failures especially in smaller technology nodes. To maximize density while protecting low-k dielectric during deposition and maintaining low-contact resistance, we explored different flavors and combinations of thermal (tALD) and plasma-enhanced ALD (PEALD). In this work, we use a new, commercially available 40 MHz direct-plasma ALD tool and corresponding optimized processes to maximize throughput and minimize dielectric damage. Different ALD flavors, viz., tALD+post plasma(PP) treatment, tALD/PEALD bilayer films were evaluated for 14 nm technology groundrule interconnects in k=2.7 and k=2.55 dielectric levels. We were able to achieve via contact resistance reduction of 25-35%, with equivalent or better performance for yield, defectivity and electromigration (EM), time-dependent dielectric breakdown (TDDB) and stress migration (SM) reliability. In-line measured defect density for dual-damascene interconnects in k=2.55 dielectric was studied with a conservative ALD TaN thickness process window; the splits with 15-20A of tALDPP TaN barrier layers were found to have the lowest defectivity. Similar data for various ALD splits vs. PVD showed that the same tALDPP process with a certain thickness combination of the bilayer TaN/ Ta resulted in least defect density. This same optimized condition looked best for viachain yield for a macro with ~108via links at 14nm groundrule. We also confirmed that the same condition resulted in the lowest via contact resistance for fully landed vias for 45 chiplets across 3 wafers; where via bottom size variation was <10%. Another study with several bilayer ALD splits in k=2.55 dielectric, showed that the 5tALD/5PEALD condition with initial tALD layer protecting the low-k dielectric followed by denser PEALD to get a more effective barrier, yielded better than PVD TaN. The lowest via resistance data was also recorded for the same split. The EM stress results for both via and line-depletion at each of k=2.7 and k=2.55 levels were also studied. ALD splits were slightly worse than PVD condition with the exception of one stress direction, but still pass reliability targets scaled from the 22 nm technology node. The kinetics data for via depletion tests results in activation energy in excess of 1 eV. TDDB stress results were obtained for builds in both k=2.7 and k=2.55 dielectrics. The most significant impact of ALD on TDDB was the restoration of voltage acceleration parameter (gamma) for both levels. Gammas (slopes of lines) for ALD of all three devices were clearly higher. This confirmed that our optimized ALD condition does no damage to the dielectric. Lastly, impact of different liner processes on stress migration (SM) was investigated at 225oC stress for 1000 hours. There were no stress fails on any of the liner splits from the traditional plate and nose type SM structures. In summary, ALD TaN is shown to be a robust alternative barrier for Cu interconnect technology for technologies nodes like 14nm and smaller. The process can be optimized to give ~30% reduction in via contact reduction while preserving healthy yield, defect density and EM, TDDB and SM reliability.
- Research Article
33
- 10.1116/1.4903938
- Dec 16, 2014
- Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films
Titanium oxide (TiO2) deposited by atomic layer deposition (ALD) is used as a protective layer in photocatalytic water splitting system as well as a dielectric in resistive memory switching. The way ALD is performed (thermally or plasma-assisted) may change the growth rate as well as the electronic properties of the deposited films. In the present work, the authors verify the influence of the ALD mode on functional parameters, by comparing the growth rate and electronic properties of TiO2 films deposited by thermal (T-) and plasma-enhanced (PE-) ALD. The authors complete the study with the electrical characterization of selected samples by means of capacitance–voltage and current–voltage measurements. In all samples, the authors found a significant presence of Ti3+ states, with the lowest content in the PE-ALD grown TiO2 films. The observation of Ti3+ states was accompanied by the presence of in-gap states above the valence band maximum. For films thinner than 10 nm, the authors found also a strong leakage current. Also in this case, the PE-ALD films showed the weakest leakage currents, showing a correlation between the presence of Ti3+ states and leakage current density.
- Research Article
- 10.1149/ma2016-02/28/1876
- Sep 1, 2016
- ECS Meeting Abstracts
Recently, the demand for moisture barrier has been increasing as the encapsulation layers of organic electronic devices, such as organic light-emitting diode (OLED) display, organic solar cells, and organic thin-film transistors. Dielectric thin films prepared by plasma-enhanced chemical vapor deposition (PECVD), hot-wire CVD, and atomic layer deposition (ALD) at low temperatures lower than 150°C have been investigated as the moisture barrier. Among them, the multilayered thin structure composed of aluminum oxide or silicon nitride prepared by ALD showed the best results [1,2]. In the present work, we prepared silicon nitride thin films by plasma-enhanced ALD (PEALD) at 100°C using novel silicon precursors, 1,3-di-iso-propylamino-4,4-dimethylcyclodisilazane (CSN-2) and bis-(di-methylamino-dimethylsilyl)- trimethylsilyl amine (DTDN-2H2), and investigated the properties of the deposited films, such as growth rate per cycle, composition, density, wet etch rate, and water vapor permeability. The effects of deposition temperature and plasma condition on the barrier properties were also discussed. [1] F. Nehm, ACS Appl. Mater. Interfaces, 7 (2015) 22121. [2] A.-M. Andringa, et al., ACS Appl. Mater. Interfaces, 7 (2015) 22525. Fig.1. The FTIR spectrum of silicon nitride thin films prepared by PEALD at 100°C using CSN-2 as the silicon precursor. Figure 1
- Research Article
- 10.1149/ma2014-02/30/1603
- Aug 5, 2014
- ECS Meeting Abstracts
Surface engineering of micro- and nanoparticles is of great importance in fields such as catalysis, energy and sensing. For many of these applications particles are required with different bulk and surface properties. A popular technique to achieve this is to coat the particle surface with a nanometer thick layer. Only a few techniques have been explored for depositing such thin conformal coatings. Chemical vapor deposition (CVD) has been used extensively for this purpose, but suffers from a lot of disadvantages, such as imperfect control over layer thickness and uniformity of the coating over all individual particles, particle agglomeration and formation of additional undesired particles due to gas phase reactions between the CVD reactants. In contrast, atomic layer deposition (ALD) is known as a reliable technique for covering complex 3D objects with ultrathin conformal coatings. However, to perform ALD on large quantities of powders, the individual particles need to be fluidized or agitated. Fluidized bed reactors are most often used for ALD on particles, but this reactor concept does not seem to be compatible with plasma enhanced ALD, which is advantages for e.g. coating on temperature sensitive polymer particles or deposition of metals and metal nitrides.In this work, a rotary reactor was used to agitate particles, enabling the deposition of conformal coatings by thermal and plasma-enhanced ALD. Particles ranging from nanometer size to millimeter size were successfully coated with layers of Al2O3, TiO2, AlN and TiN.[1]In-situ mass spectroscopy confirmed that ALD was performed by detecting the expected reaction products. By monitoring the formation of these reaction products over time, it was possible to optimize precursor and reactant usage, which is linearly dependent on the effective surface area of the particles. In the case of plasma enhanced ALD, in-situ optical emission spectroscopy confirmed the mass spectroscopy data. X-ray fluorescence revealed the expected linear relationship between the amount of ALD cycles and the deposited amount of material, while X-ray photo-electron spectroscopy was used to confirm the composition and purity of the coatings. Transmission electron spectroscopy finally showed that the individual particles were coated uniformly and conformally. Our results prove that the proposed rotary reactor enables conformal deposition on nano- and micropowders by thermal and plasma enhanced ALD. In this way, surface engineering of such particles can be achieved.[1] D. Longrie et al., Surface & Coating Technology 213 (2013) 183-191
- Research Article
- 10.5757/jkvs.2007.16.2.110
- Mar 30, 2007
- Journal of the Korean Vacuum Society
Atomic layer deposition(ALD)에 유도결합 플라즈마 소스를 채용하여 plasma enhanced ALD(PEALD)장치를 제작하고 플라즈마 발생 실험을 수행하였다. ALD와 PEALD를 이용하여 기판온도 <TEX>$230^{\circ}C$</TEX>에서 p-type Si(100)기판 위에 Co박막을 증착하였다. 이때, <TEX>$Co_{2}(CO)_{6}$</TEX>을 Co전구체로, 암모니아를 반응가스로, 아르곤을 캐리어(carrier) 및 퍼지(purge)가스로 사용하였다. 증착된 Co박막의 구성성분과 박막의 두께를 auger electron spectroscopy(AES)와 field emission scanning electron microscopy(FESEM)을 이용하여 분석하였다. ALD와 PEALD를 이용하여 증착된 Co박막에서 모두 불순물이 발견되었는데, PEALD의 경우 ALD에 비해 불순물의 양이 약 반으로 감소되었다. 암모니아 플라즈마가 Co전구체에 포함된 탄소와의 반응을 매우 효과적으로 유도하는 것으로 확인되었다. A plasma enhanced atomic layer deposition(PEALD) system has been constructed adopting an inductively coupled plasma(ICP) source with an ALD system, and its plasma generation was carried out. Cobalt thin films were deposited on a p-type Si(100) wafer at <TEX>$230^{\circ}C$</TEX>. <TEX>$Co_{2}(CO)_{6}$</TEX> was used as a cobalt precursor, <TEX>$NH_{3}$</TEX> as a reactant, and Ar as a carrier and purge gas. The properties of the thin films were investigated using field emission scanning electron microscopy(FESEM) and auger electron spectroscopy(AES). Large amounts of impurities were found in both the ALD film and the PEALD film, however, the amount of impurities in the PEALD film was reduced to about 50 % compared to that in the ALD film. It was found that <TEX>$NH_{3}$</TEX> plasma, very effectively, induces the reaction with carbon in a cobalt precursor.
- Research Article
- 10.1557/opl.2012.1192
- Jan 1, 2012
- MRS Proceedings
ABSTRACTThe template-based approach has been employed for the synthesis of nanomaterials with the potential application in the development of new material, energy and microelectronic devices. The templates are carbon nanotubes, to which the external contour is applied. Both plasmaenhanced chemical vapour deposition (PECVD) and atomic layer deposition (ALD) processes have been studied for various materials, including SiO2 and Al2O3. It is found that PECVD processes can give conformal coating on the template of carbon nanotubes, and the plasmaenhanced ALD (PEALD) processes do not show obvious damage to the morphology of carbon nanotubes. Pretreatment is also not necessary for the formation of conformal coatings of SiO2 and Al2O3. Moreover, the carbon nanotubes can be treated as the sacrificial template and removed to prepare the nanostructures with original contour. As an example, the 3-dimensional structure of Al2O3 has been demonstrated. This can be explored to develop 2D and 3D nanostructures of the intended materials. The approach of using PECVD and ALD processes makes it possible to integrate in a continuous way such kind of synthesis process with production processes of current semiconductor and energy industries.
- Research Article
9
- 10.1116/6.0001840
- Jul 1, 2022
- Journal of Vacuum Science & Technology B
Plasma enhanced atomic layer deposition (PEALD) is a cyclic atomic layer deposition process that incorporates plasma-generated species into one of the cycle substeps. The addition of plasma is advantageous as it generally provides unique gas-phase chemistries and a substantially reduced growth temperature compared to thermal approaches. However, the inclusion of plasma, coupled with the increasing variety of plasma sources used in PEALD, can make these systems challenging to understand and control. This work focuses on the use of plasma diagnostics to examine the plasma characteristics of a hollow cathode enhanced capacitively coupled plasma (HC-CCP) source, a type of plasma source that has seen increasing attention in recent years for PEALD. Ultraviolet to near-infrared spectroscopy as well as spatially resolved Langmuir probe and emissive probe measurements are employed to characterize an HC-CCP plasma source using nitrogen based gas chemistries typical of nitride PEALD processes. Spectroscopy is used to characterize the relative concentrations of important reactive and energetic neutral species generated in HC-CCP systems as a function of applied RF power, gas chemistry, and pressure. In addition, the electron energy distribution function, electron temperature, plasma potential, and plasma density for the same process parameters are examined using an RF compensated Langmuir probe and emissive probe. These measurements indicated that electron temperature (Te), electron density (ne), and plasma potential (Vp) varied significantly over the operating conditions examined with Te varying from 1.5 to 8 eV, Vp varying from 30 to 90 V, and ne varying between 1015 and low 1016 m−3. This wide range of plasma conditions is mediated by a mode transition from a low Te, high ne mode of operation at low pressure (&lt;100 mTorr) to a high Te, low ne mode at higher pressures (&gt;100 mTorr). These operational modes appear analogous to the classical γ and α modes of traditional capacitively coupled plasmas. Atomic N and H densities also vary significantly over the operating conditions examined.
- Research Article
71
- 10.1149/1.3133169
- May 19, 2009
- Journal of The Electrochemical Society
Vanadium pentoxide was deposited by atomic layer deposition (ALD) from vanadyl-tri-isopropoxide (VTIP). Water or oxygen was used as a reactive gas in thermal and plasma-enhanced (PE) processes. For PE ALD, there was a wide ALD temperature window from 50 to . Above , VTIP decomposed thermally, resulting in the chemical vapor deposition (CVD) of vanadium pentoxide. The PE ALD reactions saturated much faster than during thermal ALD, leading to a growth rate of approximately 0.7 Å/cycle during PE ALD using or . Optical emission spectroscopy showed combustion-like reactions during the plasma step. X-ray diffraction was performed to determine the crystallinity of the films after deposition and after postannealing under He or atmosphere. Films grown with CVD at and PE ALD at were (001)-oriented as deposited, while thermal and PE ALD films grown at were amorphous as deposited. The crystallinity of the PE ALD could be correlated to its high purity, while the other films had significant carbon contamination, as shown by X-ray photoelectron spectroscopy. Annealing under He led to oxygen-deficient films, while all samples eventually crystallized into under .
- Research Article
- 10.1149/ma2018-01/17/1185
- Apr 13, 2018
- Electrochemical Society Meeting Abstracts
Atomic layer deposition (ALD) has recently received increasing attention for the growth of high-conformity silicon nitride (SiN) thin films. In particular, plasma enhanced ALD (PEALD) allows SiN deposition at substantially lower temperatures (< 400 °C) with better film properties, compared to thermal ALD. These advantages make PEALD more attractive for ultra large scale integrated circuit (ULSI) device fabrication where the growth of aspect ratio independent and high-quality conformal thin dielectric films is tremendously important. The PEALD of SiN films involves a repetitive two-step process: (1) adsorption and decomposition of silicon-containing precursors and ii) nitridation of the Si-rich surface by active N species emanating from the plasma. Halogenated silanes such as hexachlorodisilane, bis(tertiary-butyl-amino)- silane, and dicholorosilane (DCS, SiH2Cl2) have been utilized as Si precursors. Despite previous studies, the underlying reaction mechanisms of these Si precursors with a N-rich SiN surface during PEALD still remain uncertain. Parameters controlling the rate of growth and uniformity have been demonstrated experimentally, but without knowledge of the reaction mechanisms, direct contributions of specific process conditions cannot be explained. Using first-principles density functional theory (DFT) calculations combined with experimental characterization, we have examined and identified a novel mechanism for the adsorption and decomposition of DCS on a N-rich SiN surface. Our study predicts that the DCS adsorption and dissociation can occur by overcoming a moderate barrier (~ 0.3 eV), far lower than the prohibitively large barriers predicted for previously proposed mechanisms. Through a detailed electronic structure analysis of the reaction intermediates, we have also elucidated the principles underlying the reaction mechanism, notably the hypervalent nature of Si which permits the facile reaction of molecularly adsorbed DCS with primary and secondary amines on the surface, followed by simultaneous Cl release and deportation steps and subsequent HCl formation and desorption. We have examined the same mechanism utilizing alternative precursors and the predicted trends are found to be corroborated with the important properties of the system. Understanding these principles allows us to develop guidelines for processing conditions, such as the importance of maintaining the proper surface composition to facilitate Si precursor adsorption and dissociation. Our study provides insight into the SiN ALD process via chlorosilanes and guidelines to control the deposition for high-quality SiN films and provides a framework for future theoretical studies of surface reactions during ALD.
- Book Chapter
- 10.1002/9783527808465.emc2016.6149
- Dec 20, 2016
Titanium dioxide (TiO 2 ) is a widely used material for photocatalytic, optical, electrical and medical applications. Atomic layer deposition (ALD) represents an excellent technique for the synthesis of thin films due to its precise thickness control, simplicity, high conformity of obtained films and reproducible growth of defect‐free films. It was shown recently that photo‐catalytic TiO 2 films grown on cellulose‐based and porous substrates can be used in water purification systems [1]. Its photo‐catalytic activity strongly depends on the crystal structure and the grain size of the film, i.e. the TiO 2 films must have a well‐defined anatase phase with large polycrystalline grains [2]. It was recognized that plasma enhanced ALD (PEALD) growth of the TiO 2 film can produce the anatase phase even at low deposition temperatures [3]. This result is important for the growth of thin polycrystalline films on temperature‐sensitive materials, such as organic substrates. On the other hand, the grain size is shown to depend critically on the type and the morphology of substrates [4]. We have investigated the effects of thin intermediate layers, grown by ALD on silicon substrates, on the grain size of the TiO 2 films, grown by thermal ALD and PEALD technique in a wide temperature range, from room temperature up to 300°C. Amorphous TiO 2 films were obtained with thermal ALD for temperatures below 150°C, while the anatase phase with crystalline aggregates has been identified on films synthesised with PEALD at low temperatures. We show that the size of crystallites can be greatly enlarged if grown on an intermediate layer of amorphous Al 2 O 3 . The films were characterised by a range of analytical techniques, including scanning electron microscopy with energy dispersive x‐ray spectroscopy, secondary ion mass spectrometry, x‐ray photoelectron spectroscopy and x‐ray diffraction.
- Research Article
- 10.29808/jvsroc.201112.0008
- Dec 30, 2011
Plasma enhanced atomic layer deposition (PEALD) technique was used to deposit nitrogendoped (N-doped) ZnO thin films on sapphire substrate. The nitrogen-doped ZnO thin films were deposited at various substrate temperatures from 75℃to 250℃. The plasma source was used to decompose the ammonia (NH3) gas and form N, NH and NH2 as the nitrogen dopant source. The post-annealing treatment was conducted to remove the hydrogen ions in the as-grown nitrogen-doped ZnO films at 900℃, in oxygen ambience by a rapid thermal annealing (RTA) system. The electrical and optical properties of the N-doped ZnO films were characterized by Hall measurements, low temperature photoluminescence (LTPL) spectroscopy and X-ray photoelectron spectroscopy (XPS), in order to prove the thin film was the p-type ZnO. The highest hole concentration of 4.04×10^17 cm^(-3), the lowest resistivity of 52.10 Ω-cm, and the hole mobility of 0.55 cm^2/V-s for N-doped ZnO can be obtained at substrate temperature of 100℃.
- Research Article
26
- 10.1149/1.2952432
- Jan 1, 2008
- Electrochemical and Solid-State Letters
We propose a deposition method capable of independently controlling the spatial density and average size of Ru nanocrystals by using both plasma-enhanced and thermal atomic layer deposition (ALD). Plasma-enhanced ALD is used to promote the nucleation of Ru nanocrystals, while thermal ALD is used to assist their growth. By the rigorous selection of each stage, we can demonstrate the formation of Ru nanocrystals with a density variation from 3.5 X 10 11 to 8.4 X 10 11 cm -2 and sizes from 2.2 to 5.1 nm, which is in the optimum density and size range of nanocrystal floating-gate memory application.
- Research Article
6
- 10.1116/6.0001752
- Apr 27, 2022
- Journal of Vacuum Science & Technology A
Atomic layer deposition (ALD) is a key technique in processing new materials compatible with complex architectures. While the processing space for Li-containing ALD thin films has been relatively well explored recently, the space for other alkali metal thin films (e.g., Na) is more limited. Thermal ALD and plasma-enhanced ALD (PEALD) lithium phosphorus oxynitride [Kozen et al., Chem. Mater. 27, 5324 (2015); Pearse et al., Chem. Mater. 29, 3740 (2017)] processes as well as analogous thermal sodium phosphorus oxynitride (NaPON) (Ref. 13) have been previously developed as conformal ALD solid state electrolytes. The main difference between the Na and Li processes is the alkali tert-butoxide precursor (AOtBu, A = Li, Na). One would expect such an isoelectronic substitution with precursors that have similar structure and properties to correlate with a similarly behaved ALD process. However, this work demonstrates that the PEALD NaPON process unexpectedly behaves quite differently from its Li counterpart, introducing some insight into the development of Na-containing thin films. In this work, we demonstrate process development and characterization of an analogous low temperature (250 °C) PEALD of NaPON. This process demonstrates significant tunability of N coordination states by varying plasma nitrogen exposure time. Electrochemical characterization showed an ionic conductivity of 8.2 × 10−9 S/cm at 80 °C and activation energy of 1.03 eV. This first instance of low temperature NaPON deposition by PEALD shows promise for further development and understanding of more versatile processing of Na thin film materials.
- Research Article
- 10.1002/adem.202301724
- Jul 10, 2024
- Advanced Engineering Materials
Herein, amorphous molybdenum oxide films are constructed by thermal atomic layer deposition (T‐ALD) and plasma‐enhanced atomic layer deposition (PE‐ALD). The physical and chemical properties of molybdenum oxide films prepared by the two methods are systematically compared by means of film growth law, atomic force microscope, scanning electron microscope, etc. The results show that the amorphous molybdenum oxide physical phase prepared by both ALD methods is MoO3. Compared with T‐ALD MoO3, the growth rate of MoO3 thin films prepared by PE‐ALD is higher. Compared to PE‐ALD MoO3, the MoO3 films prepared by T‐ALD did not have nucleation delayed to a laminar growth mode, resulting in smoother deposited films and contained less impurity carbon. The MoO3 prepared by PE‐ALD contains 7.4% impurity carbon. This carbon‐doped film significantly improves the conductivity of the MoO3 film and shows good electrochemical activity. As expected, the MoO3 films prepared by PE‐ALD show good electrocatalytic oxygen evolution reaction. The overpotential is only 259 mV at 10 mA cm−2 and continues to evolution oxygen for 60 h with almost no attenuation, indicating that carbon doping significantly improves the catalytic intrinsic activity and stability of MoO3.
- Ask R Discovery
- Chat PDF
AI summaries and top papers from 250M+ research sources.