Ultra-Thin Aluminium Oxide Films Deposited by Plasma-Enhanced Atomic Layer Deposition for Corrosion Protection
We have employed plasma-enhanced and thermal atomic layer deposition (ALD) within the temperature range of 50–150°C for the deposition of ultra-thin (10–50 nm) Al2O3 films on 100Cr6 steel and aluminium Al2024-T3 alloys. [Al(CH3)3] was used as the precursor with either an O2 plasma or water as co-reactants. Neutral salt spray tests showed that the thicker films offered the best corrosion-resistance. Using cyclic voltametry, the 50 nm films were found to be the least porous (<0.5%). For 10 nm thick films, plasma-enhanced ALD afforded a lower porosity and higher film density than thermal ALD. ToF-SIMS measurements on 100Cr6 showed that the main ‘bulk’ of the films contained very few impurities, but OH and C were observed at the interfaces. TEM confirmed that the films were conformal on all substrates and the adhesion was excellent for the films deposited by plasma-enhanced ALD but not for thermal ALD, as delamination was observed. On the basis of these and other results, the prospects of the application of ALD films for corrosion protection, and the use of plasma-enhanced ALD to promote their nucleation, is discussed.
23
- 10.1016/j.surfcoat.2010.01.028
- Feb 1, 2010
- Surface and Coatings Technology
251
- 10.1063/1.1929867
- May 24, 2005
- Applied Physics Letters
270
- 10.1088/0022-3727/42/7/073001
- Mar 13, 2009
- Journal of Physics D: Applied Physics
6
- 10.1557/jmr.1988.0862
- Oct 1, 1988
- Journal of Materials Research
126
- 10.1149/1.1838932
- Dec 1, 1998
- Journal of The Electrochemical Society
258
- 10.1063/1.2338776
- Aug 21, 2006
- Applied Physics Letters
119
- 10.1063/1.2940598
- Jun 9, 2008
- Applied Physics Letters
95
- 10.1016/j.triboint.2008.06.015
- Sep 13, 2008
- Tribology International
16
- 10.1016/s0042-207x(02)00293-2
- Oct 1, 2002
- Vacuum
9
- 10.1016/0963-8695(92)90351-g
- Jan 1, 1992
- NDT & E International
- Research Article
24
- 10.1007/s40735-022-00717-6
- Nov 5, 2022
- Journal of Bio- and Tribo-Corrosion
A Comprehensive Review on Various Ceramic Nanomaterial Coatings Over Metallic Substrates: Applications, Challenges and Future Trends
- Research Article
64
- 10.1021/am404906x
- Jan 27, 2014
- ACS Applied Materials & Interfaces
Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.
- Research Article
21
- 10.3390/coatings9100680
- Oct 19, 2019
- Coatings
Polysilazane (PSZ) have been used for many years as precursors for the development of ceramic materials. Recently, hydrocarbon-substituted polysilazane, which is called organopolysilazane (OPSZ), has been proposed as possible alternative to silanes for the corrosion protection of metals by the sol gel route. In this work, polymethyl(hydro)/polydimethylsilazane-derived coatings were deposited on low-carbon steel for corrosion protection purposes. The effect of the OPSZ precursor concentration (10–40 v/v %) in butyl-acetate on the final properties of the coatings was investigated. Coatings in the thickness range of 1 to 3.5 µm were obtained. The experimental results showed that the concentration of OPSZ in the solvent affects the structural properties as well as the dry film thickness of the hybrid layer. In particular, the network arrangement seems to be influenced by the dilution of the OPSZ precursors solution. The electrochemical characterization revealed that a minimum thickness of about 2 to 3 µm is needed to provide the mild steel substrate with enhanced corrosion protection properties compared to the bare substrate. Comparing the obtained results with literature data, it seems that OPSZs are a potential alternative to coatings derived from organisilicon precursors.
- Research Article
17
- 10.1016/j.ceramint.2023.02.124
- Feb 21, 2023
- Ceramics International
Development of rGO doped alumina-based wear and corrosion resistant ceramic coatings on steel using HVOF thermal spray
- Book Chapter
- 10.4018/979-8-3693-3088-3.ch008
- Jul 19, 2024
Corrosion is a natural irreversible process that affects various materials, causing structural damage or destruction and significant economic losses worldwide. Various techniques are adopted to mitigate corrosion. In this chapter, the role of nanomaterials as corrosion inhibitors is explored for a range of applications. There are various types of nanomaterials, such as metal/metal oxide nanoparticles, nanocrystal alloys, nanocoatings, and nanoallotropes of carbon. This chapter highlights the importance of using nanomaterials as corrosion inhibitors and presents research findings on the effectiveness of various types of nanomaterials as corrosion inhibitors. Also, it describes the chemical modifications of nanomaterials including functionalization, coating techniques, incorporation of nanomaterials into polymeric matrices and development of nanocomposite which optimize their anti-corrosive properties. It also demonstrates the significant potential of nanomaterials in combating corrosion and improving the durability of metal substrates.
- Research Article
5
- 10.1021/acsanm.2c00378
- Apr 25, 2022
- ACS Applied Nano Materials
Mechanical Properties of Atomic-Layer-Deposited Al<sub>2</sub>O<sub>3</sub>/Y<sub>2</sub>O<sub>3</sub> Nanolaminate Films on Aluminum toward Protective Coatings
- Book Chapter
1
- 10.1016/b978-0-323-95158-6.00013-8
- Jan 1, 2023
- Smart Anticorrosive Materials
Chapter 24 - Corrosion inhibition of materials at nanoscale
- Research Article
16
- 10.1021/acsami.1c14586
- Nov 4, 2021
- ACS Applied Materials & Interfaces
Atomic layer deposition (ALD) is an enabling technology for encapsulating sensitive materials owing to its high-quality, conformal coating capability. Finding the optimum deposition parameters is vital to achieving defect-free layers; however, the high dimensionality of the parameter space makes a systematic study on the improvement of the protective properties of ALD films challenging. Machine-learning (ML) methods are gaining credibility in materials science applications by efficiently addressing these challenges and outperforming conventional techniques. Accordingly, this study reports the ML-based minimization of defects in an ALD-Al2O3 passivation layer for the corrosion protection of metallic copper using Bayesian optimization (BO). In all experiments, BO consistently minimizes the layer defect density by finding the optimum deposition parameters in less than three trials. Electrochemical tests show that the optimized layers have virtually zero film porosity and achieve five orders of magnitude reduction in corrosion current as compared to control samples. Optimized parameters of surface pretreatment using Ar/H2 plasma, the deposition temperature above 200 °C, and 60 ms pulse time quadruple the corrosion resistance. The significant optimization of ALD layers presented in this study demonstrates the effectiveness of BO and its potential outreach to a broader audience, focusing on different materials and processes in materials science applications.
- Research Article
8
- 10.1063/5.0035309
- May 1, 2021
- Review of Scientific Instruments
To inform the development of advanced electrodes for energy storage, water treatment, and catalysis, among other applications, we need to improve our understanding of how material structure evolves during electrochemical operation. Insight into the evolution of local atomic structure during electrochemical operation is accessible through a range of sophisticated in operando probes, but techniques for in operando observation of macroscale electrode phenomena (e.g., swelling, dissolution, and chemical degradation) are limited. This macroscale understanding is critical to establish a full picture of electrochemical material behavior. Here, we report a multimodal cell for simultaneous electrochemical quartz crystal microbalance (EQCM) and in operando spectroscopic ellipsometry (SE). This SE-EQCM cell allows for the measurement of mass, thickness, optical properties, and electrochemical properties together in one device. Using polyaniline (PANI) as a test case, we demonstrate the use of this SE-EQCM cell to rapidly measure known phenomena and reproduce a range of prior results during the electrodeposition, electrochemical cycling, and electrochemical degradation of PANI. In particular, the simultaneous mass and thickness measurement afforded by this cell allows us to distinguish known qualitative differences in the degradation of PANI under oxidative and reductive potentials. The SE-EQCM cell we report promises to reveal new insights into the electrochemical behavior of thin film materials for a range of applications.
- Research Article
3
- 10.1134/s1070427221090020
- Sep 1, 2021
- Russian Journal of Applied Chemistry
Nanotechnology of Molecular Layering in Production of Inorganic and Hybrid Materials for Various Functional Purposes: II. Molecular Layering Technology and Prospects for Its Commercialization and Development in the XXI Century
- Research Article
16
- 10.1016/j.mtchem.2018.10.002
- Nov 13, 2018
- Materials Today Chemistry
Comparisons of alumina barrier films deposited by thermal and plasma atomic layer deposition
- Research Article
46
- 10.1038/s41598-019-47049-z
- Jul 18, 2019
- Scientific Reports
The wide applications of ultrathin group IV metal oxide films (TiO2, ZrO2 and HfO2) probably expose materials to potentially reactive etchants and solvents, appealing for extraordinary chemical stability and corrosion resistance property. In this paper, TiO2 ultrathin films were deposited on Si at 200 °C while ZrO2 and HfO2 were grown at 250 °C to fit their growth temperature window, by thermal atomic layer deposition (TALD) and plasma-enhanced ALD (PEALD). A variety of chemical liquid media including 1 mol/L H2SO4, 1 mol/L HCl, 1 mol/L KOH, 1 mol/L KCl, and 18 MΩ deionized water were used to test and compare chemical stability of all these as-deposited group IV metal oxides thin films, as well as post-annealed samples at various temperatures. Among these metal oxides, TALD/PEALD HfO2 ultrathin films exhibit the best chemical stability and anti-corrosion property without any change in thickness after long time immersion into acidic, alkaline and neutral solutions. As-deposited TALD ZrO2 ultrathin films have slow etch rate of 1.06 nm/day in 1 mol/L HCl, however other PEALD ZrO2 ultrathin films and annealed TALD ones show better anti-acid stability, indicating the role of introduction of plasma O2 in PEALD and post-thermal treatment. As-deposited TiO2 ultrathin films by TALD and PEALD are found to be etched slowly in acidic solutions, but the PEALD can decrease the etching rate of TiO2 by ~41%. After post-annealing, TiO2 ultrathin films have satisfactory corrosion resistance, which is ascribed to the crystallization transition from amorphous to anatase phase and the formation of 5% Si-doped TiO2 ultrathin layers on sample surfaces, i.e. Ti-silicate. ZrO2, and TiO2 ultrathin films show excellent corrosion endurance property in basic and neutral solutions. Simultaneously, 304 stainless steel coated with PEALD-HfO2 is found to have a lower corrosion rate than that with TALD-HfO2 by means of electrochemical measurement. The pre-treatment of plasma H2 to 304 stainless steel can effectively reduce interfacial impurities and porosity of overlayers with significantly enhanced corrosion endurance. Above all, the chemical stability and anti-corrosion properties of IV group metal oxide coatings can be improved by using PEALD technique, post-annealing process and plasma H2 pre-treatment to substrates.
- Research Article
- 10.1002/adem.202301724
- Jul 10, 2024
- Advanced Engineering Materials
Herein, amorphous molybdenum oxide films are constructed by thermal atomic layer deposition (T‐ALD) and plasma‐enhanced atomic layer deposition (PE‐ALD). The physical and chemical properties of molybdenum oxide films prepared by the two methods are systematically compared by means of film growth law, atomic force microscope, scanning electron microscope, etc. The results show that the amorphous molybdenum oxide physical phase prepared by both ALD methods is MoO3. Compared with T‐ALD MoO3, the growth rate of MoO3 thin films prepared by PE‐ALD is higher. Compared to PE‐ALD MoO3, the MoO3 films prepared by T‐ALD did not have nucleation delayed to a laminar growth mode, resulting in smoother deposited films and contained less impurity carbon. The MoO3 prepared by PE‐ALD contains 7.4% impurity carbon. This carbon‐doped film significantly improves the conductivity of the MoO3 film and shows good electrochemical activity. As expected, the MoO3 films prepared by PE‐ALD show good electrocatalytic oxygen evolution reaction. The overpotential is only 259 mV at 10 mA cm−2 and continues to evolution oxygen for 60 h with almost no attenuation, indicating that carbon doping significantly improves the catalytic intrinsic activity and stability of MoO3.
- Research Article
33
- 10.1116/1.4903938
- Dec 16, 2014
- Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films
Titanium oxide (TiO2) deposited by atomic layer deposition (ALD) is used as a protective layer in photocatalytic water splitting system as well as a dielectric in resistive memory switching. The way ALD is performed (thermally or plasma-assisted) may change the growth rate as well as the electronic properties of the deposited films. In the present work, the authors verify the influence of the ALD mode on functional parameters, by comparing the growth rate and electronic properties of TiO2 films deposited by thermal (T-) and plasma-enhanced (PE-) ALD. The authors complete the study with the electrical characterization of selected samples by means of capacitance–voltage and current–voltage measurements. In all samples, the authors found a significant presence of Ti3+ states, with the lowest content in the PE-ALD grown TiO2 films. The observation of Ti3+ states was accompanied by the presence of in-gap states above the valence band maximum. For films thinner than 10 nm, the authors found also a strong leakage current. Also in this case, the PE-ALD films showed the weakest leakage currents, showing a correlation between the presence of Ti3+ states and leakage current density.
- Research Article
- 10.1149/ma2024-02302214mtgabs
- Nov 22, 2024
- Electrochemical Society Meeting Abstracts
Scaling interconnects to increase device density is a critical bottle neck for a range of applications from complementary metal oxide semiconductor (CMOS) to microelectromechanical (MEMS) switches and other devices. Currently, Cu is the interconnect metal of choice to fill vias but comes with significant challenges. To perform the fill, first a diffusion barrier is applied to ensure Cu leakage does not cause electrical breakdown between vias, then a metal seed layer is used to ensure smooth and dense Cu electroplating. Uniform seed resistivity, which can be correlated to thickness, is critical to produce low resistivity interconnects, free of voids. Unfortunately, state-of-the-art processes for high quality metallic films are limited to line-of-site techniques like chemical vapor deposition (CVD) or physical vapor deposition (PVD), limiting possible device pitch and architectures. When the aspect ratio increases above ~8:1 or there is a shadow, typically one of the layers is missing, resulting in insufficiently thin seed for successful Cu electroplating nucleation. This deficiency creates a void or produces a thickness gradient, resulting in pinch-off at the top. Ultimately, the device fails due to high line resistance or full dielectric breakdown. Fortunately, atomic layer deposition (ALD) can produce high density and low resistivity metal films for both Cu diffusion barrier and Cu electroplating seed applications. Here we report on a total solution to this problem using only thermal ALD. Adoption of ALD of metals has been slow in the market as traditional ALD reactors can be too slow and inefficient for production requirements or require plasma for sufficient film quality. Plasma enhanced ALD (PEALD) can produce quality films at a reasonable thermal budget, unfortunately every plasma process will have a limit to the aspect ratio that can be coated conformally and there is additional risk of surface plasma damage. Forge Nano has demonstrated single wafer thermal ALD technology to improve the speed and efficiency of ALD metal films, enabling a production worthy process. In this work a complete Cu barrier-seed stack solution has been demonstrated on Si vias ranging from 4:1 to 25:1 aspect ratio, showing successful Cu electroplating. A SiO2 ALD film, with a deposition rate of 10 nm/min, is first deposited to provide a dielectric barrier, then a thin TiN layer is applied as a Cu diffusion barrier, followed by a low resistivity Ru film for Cu adhesion. A novel TiN thermal ALD process at 300°C has been developed which decreases the as-deposited resistivity from ~1200 to <300 uΩ·cm at a deposition rate >1 nm/min. In addition, a high-quality Ru film has been developed with resistivity values <20 uΩ·cm and can be deposited on SiO2, HfO2, Pt, and TiN. An example of success as a total Cu barrier/seed stack for Cu electroplating in Si trenches is shown in Figure 1. When compared to PVD Ti/W barrier and Cu seed, the ALD stack produces dense, void free nucleation of Cu that remains well adhered to the via. However, the PVD stack has voids at the bottom of the trench from poor adhesion of electroplated Cu and narrowing at the top from a resistivity gradient within the trench, resulting in eventual pinch-off. From this comparison, it can be observed that the ALD Ru provides sufficient adhesion for Cu electroplating and that resistivity of the ALD Ru/TiN stack is sufficiently low and consistent for conformal and dense Cu electroplating. We expect this work to open up higher aspect ratio interconnects and possible new device architecture to the market. Figure 1
- Research Article
- 10.1149/ma2015-02/26/996
- Jul 7, 2015
- Electrochemical Society Meeting Abstracts
We report on an alternative, atomic layer deposited (ALD) TaN barrier scheme for Cu interconnects for 14nm technology node and beyond, i.e., 64nm pitch and/or smaller interconnects. With VLSI integration requiring denser packing of interconnects, conformal fill of progressively narrower trenches and vias with high aspect ratio, presents tough challenge for line-of-sight physical vapor deposition processes. ALD overcomes these gap-fill challenges but has disadvantages of low throughput, chemical residues and relatively lower density of ALD barriers for effective blocking of O2and Cu diffusion. From gap-fill perspective, ALD films enable ultrathin, conformal barrier with reduced problems of overhang and large bottom thickness, typical of physical vapor deposited (PVD) films. Reduced bottom-thickness enables via-contact resistance reduction and less overhang improves gap-fill, while maximizing Cu volume in a trench/via structure. Our blanket film studies show that ALD films are 10-15% less dense compared to Ta-rich PVD films, and more importantly only desired low-resistance alpha-Ta nucleates on ALD films vs. thin PVD films. The conformality of ALD TaN as well as the nucleation of alpha-Ta on it form the basis of via contact resistance reduction, leading to performance enhancement.A plasma-enhanced ALD (PEALD) process helps increase density and improves the hermeticity of the barrier. But PEALD can cause dielectric damage and lead to TDDB failures especially in smaller technology nodes. To maximize density while protecting low-k dielectric during deposition and maintaining low-contact resistance, we explored different flavors and combinations of thermal (tALD) and plasma-enhanced ALD (PEALD). In this work, we use a new, commercially available 40 MHz direct-plasma ALD tool and corresponding optimized processes to maximize throughput and minimize dielectric damage. Different ALD flavors, viz., tALD+post plasma(PP) treatment, tALD/PEALD bilayer films were evaluated for 14 nm technology groundrule interconnects in k=2.7 and k=2.55 dielectric levels. We were able to achieve via contact resistance reduction of 25-35%, with equivalent or better performance for yield, defectivity and electromigration (EM), time-dependent dielectric breakdown (TDDB) and stress migration (SM) reliability. In-line measured defect density for dual-damascene interconnects in k=2.55 dielectric was studied with a conservative ALD TaN thickness process window; the splits with 15-20A of tALDPP TaN barrier layers were found to have the lowest defectivity. Similar data for various ALD splits vs. PVD showed that the same tALDPP process with a certain thickness combination of the bilayer TaN/ Ta resulted in least defect density. This same optimized condition looked best for viachain yield for a macro with ~108via links at 14nm groundrule. We also confirmed that the same condition resulted in the lowest via contact resistance for fully landed vias for 45 chiplets across 3 wafers; where via bottom size variation was <10%. Another study with several bilayer ALD splits in k=2.55 dielectric, showed that the 5tALD/5PEALD condition with initial tALD layer protecting the low-k dielectric followed by denser PEALD to get a more effective barrier, yielded better than PVD TaN. The lowest via resistance data was also recorded for the same split. The EM stress results for both via and line-depletion at each of k=2.7 and k=2.55 levels were also studied. ALD splits were slightly worse than PVD condition with the exception of one stress direction, but still pass reliability targets scaled from the 22 nm technology node. The kinetics data for via depletion tests results in activation energy in excess of 1 eV. TDDB stress results were obtained for builds in both k=2.7 and k=2.55 dielectrics. The most significant impact of ALD on TDDB was the restoration of voltage acceleration parameter (gamma) for both levels. Gammas (slopes of lines) for ALD of all three devices were clearly higher. This confirmed that our optimized ALD condition does no damage to the dielectric. Lastly, impact of different liner processes on stress migration (SM) was investigated at 225oC stress for 1000 hours. There were no stress fails on any of the liner splits from the traditional plate and nose type SM structures. In summary, ALD TaN is shown to be a robust alternative barrier for Cu interconnect technology for technologies nodes like 14nm and smaller. The process can be optimized to give ~30% reduction in via contact reduction while preserving healthy yield, defect density and EM, TDDB and SM reliability.
- Research Article
71
- 10.1149/1.3133169
- May 19, 2009
- Journal of The Electrochemical Society
Vanadium pentoxide was deposited by atomic layer deposition (ALD) from vanadyl-tri-isopropoxide (VTIP). Water or oxygen was used as a reactive gas in thermal and plasma-enhanced (PE) processes. For PE ALD, there was a wide ALD temperature window from 50 to . Above , VTIP decomposed thermally, resulting in the chemical vapor deposition (CVD) of vanadium pentoxide. The PE ALD reactions saturated much faster than during thermal ALD, leading to a growth rate of approximately 0.7 Å/cycle during PE ALD using or . Optical emission spectroscopy showed combustion-like reactions during the plasma step. X-ray diffraction was performed to determine the crystallinity of the films after deposition and after postannealing under He or atmosphere. Films grown with CVD at and PE ALD at were (001)-oriented as deposited, while thermal and PE ALD films grown at were amorphous as deposited. The crystallinity of the PE ALD could be correlated to its high purity, while the other films had significant carbon contamination, as shown by X-ray photoelectron spectroscopy. Annealing under He led to oxygen-deficient films, while all samples eventually crystallized into under .
- Research Article
- 10.1149/ma2014-02/30/1603
- Aug 5, 2014
- ECS Meeting Abstracts
Surface engineering of micro- and nanoparticles is of great importance in fields such as catalysis, energy and sensing. For many of these applications particles are required with different bulk and surface properties. A popular technique to achieve this is to coat the particle surface with a nanometer thick layer. Only a few techniques have been explored for depositing such thin conformal coatings. Chemical vapor deposition (CVD) has been used extensively for this purpose, but suffers from a lot of disadvantages, such as imperfect control over layer thickness and uniformity of the coating over all individual particles, particle agglomeration and formation of additional undesired particles due to gas phase reactions between the CVD reactants. In contrast, atomic layer deposition (ALD) is known as a reliable technique for covering complex 3D objects with ultrathin conformal coatings. However, to perform ALD on large quantities of powders, the individual particles need to be fluidized or agitated. Fluidized bed reactors are most often used for ALD on particles, but this reactor concept does not seem to be compatible with plasma enhanced ALD, which is advantages for e.g. coating on temperature sensitive polymer particles or deposition of metals and metal nitrides.In this work, a rotary reactor was used to agitate particles, enabling the deposition of conformal coatings by thermal and plasma-enhanced ALD. Particles ranging from nanometer size to millimeter size were successfully coated with layers of Al2O3, TiO2, AlN and TiN.[1]In-situ mass spectroscopy confirmed that ALD was performed by detecting the expected reaction products. By monitoring the formation of these reaction products over time, it was possible to optimize precursor and reactant usage, which is linearly dependent on the effective surface area of the particles. In the case of plasma enhanced ALD, in-situ optical emission spectroscopy confirmed the mass spectroscopy data. X-ray fluorescence revealed the expected linear relationship between the amount of ALD cycles and the deposited amount of material, while X-ray photo-electron spectroscopy was used to confirm the composition and purity of the coatings. Transmission electron spectroscopy finally showed that the individual particles were coated uniformly and conformally. Our results prove that the proposed rotary reactor enables conformal deposition on nano- and micropowders by thermal and plasma enhanced ALD. In this way, surface engineering of such particles can be achieved.[1] D. Longrie et al., Surface & Coating Technology 213 (2013) 183-191
- Research Article
26
- 10.1149/1.2952432
- Jan 1, 2008
- Electrochemical and Solid-State Letters
We propose a deposition method capable of independently controlling the spatial density and average size of Ru nanocrystals by using both plasma-enhanced and thermal atomic layer deposition (ALD). Plasma-enhanced ALD is used to promote the nucleation of Ru nanocrystals, while thermal ALD is used to assist their growth. By the rigorous selection of each stage, we can demonstrate the formation of Ru nanocrystals with a density variation from 3.5 X 10 11 to 8.4 X 10 11 cm -2 and sizes from 2.2 to 5.1 nm, which is in the optimum density and size range of nanocrystal floating-gate memory application.
- Research Article
16
- 10.1016/j.ceramint.2020.01.001
- Jan 2, 2020
- Ceramics International
Growth characteristics and film properties of plasma-enhanced and thermal atomic-layer-deposited magnesium oxide thin films prepared using bis(ethylcyclopentadienyl)magnesium precursor
- Research Article
4
- 10.1016/j.cap.2016.04.005
- Apr 6, 2016
- Current Applied Physics
Formation of Ni silicide from atomic layer deposited Ni
- Research Article
5
- 10.1557/opl.2014.49
- Jan 1, 2014
- MRS Proceedings
ABSTRACTAn electrical and analytical study was carried out to investigate TiW/ZnO Schottky contacts with 30 nm ZnO thin film layers deposited by pulsed laser deposition (PLD), plasma enhanced atomic layer deposition (PEALD), and thermal atomic layer deposition (TALD). Devices with ZnO layer deposited by TALD exhibit approximately linear behavior in their I-V measurements. However, both devices with ZnO layers deposited by PEALD and PLD behaved like Schottky rectifiers with barrier heights between TiW and ZnO of 0.51 eV and 0.45 eV respectively and ideality factors of 2.0 and 2.3 respectively. The PEALD deposited ZnO Schotty diodes demonstrated an on/off rectifying ratio of about 25 at ±1 V. The leakage current values of the PLD deposited ZnO Schottky diodes are significantly larger than those of PEALD, leading to a poor on/off rectifying ratio of ∼4. Due to the small thickness, a critical breakdown strength of 1.3 MV/cm was estimated for PEALD-ZnO thin films.
- Research Article
27
- 10.1016/j.tsf.2013.03.022
- Mar 21, 2013
- Thin Solid Films
Hydrogen–argon plasma pre-treatment for improving the anti-corrosion properties of thin Al2O3 films deposited using atomic layer deposition on steel
- Research Article
10
- 10.1016/j.sse.2019.107661
- Sep 18, 2019
- Solid-State Electronics
Improved dielectric properties of BeO thin films grown by plasma enhanced atomic layer deposition
- Research Article
4
- 10.3390/nano13121858
- Jun 14, 2023
- Nanomaterials
Only a few iron precursors that can be used in the atomic layer deposition (ALD) of iron oxides have been examined thus far. This study aimed to compare the various properties of FeOx thin films deposited using thermal ALD and plasma-enhanced ALD (PEALD) and to evaluate the advantages and disadvantages of using bis(N,N'-di-butylacetamidinato)iron(II) as an Fe precursor in FeOx ALD. The PEALD of FeOx films using iron bisamidinate has not yet been reported. Compared with thermal ALD films, PEALD films exhibited improved properties in terms of surface roughness, film density, and crystallinity after they were annealed in air at 500 °C. The annealed films, which had thicknesses exceeding ~ 9 nm, exhibited hematite crystal structures. Additionally, the conformality of the ALD-grown films was examined using trench-structured wafers with different aspect ratios.
- New
- Research Article
- 10.1149/1945-7111/ae1bdf
- Nov 5, 2025
- Journal of The Electrochemical Society
- New
- Research Article
- 10.1149/1945-7111/ae1bdd
- Nov 5, 2025
- Journal of The Electrochemical Society
- New
- Research Article
- 10.1149/1945-7111/ae1be0
- Nov 5, 2025
- Journal of The Electrochemical Society
- Research Article
- 10.1149/1945-7111/ae1b40
- Nov 4, 2025
- Journal of The Electrochemical Society
- Research Article
- 10.1149/1945-7111/ae1b42
- Nov 4, 2025
- Journal of The Electrochemical Society
- Research Article
- 10.1149/1945-7111/ae1ae9
- Nov 4, 2025
- Journal of The Electrochemical Society
- Research Article
- 10.1149/1945-7111/ae1b3d
- Nov 4, 2025
- Journal of The Electrochemical Society
- Research Article
- 10.1149/1945-7111/ae1b3f
- Nov 4, 2025
- Journal of The Electrochemical Society
- Research Article
- 10.1149/1945-7111/ae1b3e
- Nov 4, 2025
- Journal of The Electrochemical Society
- Research Article
- 10.1149/1945-7111/ae1b41
- Nov 4, 2025
- Journal of The Electrochemical Society
- Ask R Discovery
- Chat PDF
AI summaries and top papers from 250M+ research sources.