Abstract

As device dimensions are reduced into the sub-micron region in pursuit of higher integration density and better circuit performance, low temperature processing, including low temperature cleaning and low temperature epitaxial growth, is becoming important. In order to achieve the high-quality epitaxy with the low temperature processing, the technique of in-situ plasma cleaning has been developed [1, 2]. Although there have been some reports on the plasma cleaning of surface oxygen prior to epitaxial growth, there are rare reports on the systematic study on the removal of surface carbon. Carbon is much more tenacious and stable on the silicon surface than oxygen and temperatures over 1100 ◦C are required to remove carbon from the surface by thermal desorption process. Here, we have performed the low temperature in-situ cleaning in order to reduce the interfacial carbon concentration. Substrates were 4 inch, czochralski-grown, p-type (100) silicon with 0.5–20 -cm resistivity. The wafers were RCA cleaned and HF dipped for 20–30 s in 10:1 aqueous solutions and rinsed in DI (deionized) water and then dried by blowing nitrogen on them. All the processes were done inside the class 100 cleanroom and it took only 10 s to load the wafer into the load lock chamber of the CVD reactor after the wafer was blowdried. After the wafers were transferred and loaded onto the heater stage, the main chamber was pumped down and ultimately 1–2 × 10−8 Torr could be attained. In-situ predepositon wafer cleaning was done by using ECR hydrogen plasma. The ECR hydrogen plasma is able to deliver a higher density of low energy and light hydrogen ions to the wafer, resulting in highly efficient cleaning without substrate damage [2]. The ECR chamber was at the side of the CVD chamber. ECR was operated at the 2.45 GHz S-band microwave frequency. Depositions were done by flowing 10 sccm SiH4 without carrier gases, immediately after the plasma was extinguished. We have applied the in-situ cleaning condition with a microwave power of 300 W, DC bias of 10 V, pressure of 1 mTorr, and the cleaning temperature of 600 ◦C and subsequently have deposited the silicon epitaxial layer. Fig. 1 shows the XTEM image of silicon epilayer and the interface, revealing that the high-quality epitaxial layer is produced by applying the in-situ plasma cleaning. Fig. 2 shows the SIMS depth profile

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call