Abstract

Background: The mathematical equations that explain overlay error of multiple-exposure patterning schemes have not been fully described in the literature and some commonly accepted methods lead to inaccurate estimated and/or measured overlay error. Aims: Develop the proper mathematical framework, using a first principles statistical approach, so that engineers using multiple-exposure patterning can determine the overlay impact and overlay controls needed. Alert patterning community that grouped overlay metrology of multiple-exposures undermeasures the true overlay error. Approach: Use image placement error and population-based statistics to enable a mathematical framework to be established that predicts the actual overlay error for an overlaying pattern that minimizes overlay error back to a pattern that is patterned with multiple-exposure patterning. Results: The overlay error between two patterns is usually less than the root sum square of the two overlay error values of the patterns individually measured to a common prior pattern. Overlay error for a pattern minimizing back to multiple-prior patterns increases quickly as systematic overlay error between the prior patterns increases. Conclusions: Controlling systematic overlay error between patterns of a multipatterned layer is important for subsequent patterns that need to minimize overlay error back to the composite multipatterned layer. The ratio between the overlay error determined with metrology and true overlay can be calculated.

Highlights

  • Multiple-exposure patterning[1,2] is a main-stream method used in the manufacturing of integrated circuits.[3]

  • The ratio between metrology and actual overlay standard deviation can be exactly calculated for an overlaying pattern measuring back to a prior pattern patterned with multiple exposures

  • Methods discussed in the literature that look at “second order” overlay calculations and root sum square (RSS) the measured overlay errors to estimate indirectly controlled overlay error are not capable of estimating overlay error between an overlaying pattern and a prior pattern patterned with multiple exposures

Read more

Summary

Introduction

Multiple-exposure patterning[1,2] is a main-stream method used in the manufacturing of integrated circuits.[3]. (Composite layer refers to a functional layer, in the build of a semiconductor chip, that is formed by multiple-exposure patterning.) It was recognized by the industry, early in the development of multiple-exposure patterning, that the overlay requirements for meeting the dimensional targets, of the indirectly patterned features of the composite layer, can be challenging to achieve when using multiple-exposure patterning.[10,11,12] As an example, for layers that are pitch split into two separate exposures, both the CDs of the features being patterned and the overlay error between the two exposures being used for the composite layer determine the final spacing between the features being patterned.[13] Previous literature has focused on the effect of overlay error in multiple-exposure patterning on the dimension of the feature not being directly patterned Both Arnold et al.[10] and Hazelton et al.[12] have each described mathematically the effect of overlay error and CD error of the two exposures, of a double patterning process, on the indirectly patterned features. The standard deviation σ2→1 includes ACFWL overlay error variation.

Notation Used for Overlay and Image Placement Terms
Overview of Paper
Overlay Error of Overlaying Pattern to Union of “n” Prior Patterns
Impact of Measuring Overlay Error Back to Multiple-Prior Patterns
Summary and Future Work
Overlay Metrology and Overlay Error Minimization
Overlay Process Assumptions
Findings
Overlay Error Control in Manufacturing
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call