Abstract

In past few decades, most of the modern electrophysiological concepts and methods were developed by the computational technique extensively to compute the cardiac action potential in nerve cells. Thus, tissue models consisting of a large number of single cell models cause a problem in the amount of computation required to obtain meaningful results from simulations. One of the solutions to this problem is by implementing the simulation through hardware modeling using a Field Programmable Gate Array (FPGA). Here, a research on developing a real-time simulation tool responsible for reentrant excitations in a ring of cardiac tissue based on the FitzHugh-Nagumo (FHN) model has been carried out by using a Xilinx Virtex-6 XC6VLX240T ML605 development board FPGA. In order to invest some of the time savings for creating the FPGA prototype, rapid prototyping method introduced by MathWorks which are MATLAB Simulink and its HDL Coder toolbox have been used to automate the algorithm design process by converting Simulink blocks into Hardware Description Language (HDL) code for the FPGA using a fixed-point data type in discrete-time framework. In this paper, the method and the optimization of the HDL design through the MATLAB Simulink have been discussed and the FPGA hardware performance in terms of speed, area and power consumption has also been analyzed.

Highlights

  • The mathematical modeling computation by using hardware is used to generate action potential generation and conduction in order to study the underlying mechanism of the human ventricular cells since it is not associated with experimental problems

  • As a result of the optimization, three optimum values of WL and FL are used for the optimization processes which are (24, 22), (48, 44) and (96, 88). These values indicate the maximum values that have been set in the block system designed. From these three optimum fixed-point values, the VHDL codes are generated through Hardware Description Language (HDL) Workflow Advisor in the HDL Coder and ISE Design Suite 14.6 is used to analyze the hardware utilizations in terms of slice registers and slice lookup tables (LUTs), operating frequency and power consumption of the HDL design model

  • The HDL Coder in MATLAB provides very efficient in rapid design to generate the VHDL code automatically

Read more

Summary

Introduction

The mathematical modeling computation by using hardware is used to generate action potential generation and conduction in order to study the underlying mechanism of the human ventricular cells since it is not associated with experimental problems. Many models of the cardiac cells have been developed to represent different regions of the heart [1, 2]. With the progress of time, the computational techniques become more advance but complicated as parameters in the mathematical descriptions and size of the models increase which cause a drawback in the amount of computations for the dynamic simulations of the mechanism. To overcome the computational challenge, hardware implementation using a Field Programmable Gate Array (FPGA) appears as one of main choices recently which able to provide valuable tools for electrical excitation modeling [3]. Automatic code generation lets researcher to make changes in the design level

Methods
Results
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call