Abstract

Back end of the line processing requires removal of deposited polymers resulting from etch processes. These polymers typically exist on the whole of the pattern including the dielectric sidewalls and can be removed by wet cleans or a combination of wet cleans and plasma treatments. When a porous dielectric is present these residues cannot be efficiently removed using plasma or certain wet cleans without potentially damaging the underlying porous dielectric layer. Therefore there exists a need for a one-step wet clean that can completely remove the residues without damaging the porous dielectric. Previous work has shown that a combination of a UV pretreatment followed by a wet clean can remove these residues [1]. These residues are composed of CF, -CF2, and CF3 groups as described by X-ray photoelectron spectroscopy (XPS). In an effort to improve the manufacturing viability of such a process we have undertaken a study to develop a one-step wet clean for fluoropolymer removal. Utilizing a blanket checkerboard pattern with a model fluoropolymer deposited on a porous low-κ substrate we have demonstrated the one-step wet clean of the aforementioned fluoropolymer while maintaining compatibility with the pristine and etch processed porous low-k dielectric.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.