Abstract

ArF lithography has continued with the increase of numerical aperture (NA) and the decrease of resist thickness, which are causing several problems both in mass production and development stage. NA is going to exceed unity in immersion, which necessitates the use of dual bottom antireflective coating (BARC) with increased process complexity and cost. Resist thickness, on the other hand, is expected to further decrease below 100nm. Therefore, it is inevitable to use additional hard masks, which increases production cost due to chemical vapor deposition (CVD) process. Here the author discloses the novel spin-on hard (SOH) mask system with dual BARC property to overcome both problems aforementioned. This SOH mask composed of two layers of Si-containing BARC and carbon materials shows high etch selectivity between thin resist and several substrates. Composition and etch chemistries of two layers are intensively studied to give CVD-comparable step-by-step etch selectivity to transfer various patterns of thin resist including line/space and contact holes to the various substrates. In addition, optical properties of two layers are finely designed from comprehensive optical simulation to be applied to various generations of ArF lithography from dry to immersion process. In this study, the Si-BARC with high silicon content showed the fastest etching rate related with resist and showed also high etching resistance toward underlying spin-on carbon. The author obtained good etch profile of 60nm L/S patterns using Si-BARC with 36% silicon content as a SOH mask. This novel system is under extensive optimization to be applied to various generations of ArF lithography from mass production to the most pioneering semiconductor devices utilizing immersion lithography.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call