Abstract

Spin on bottom anti-reflective coatings were introduced to the semiconductor industry about 20 years ago to help control substrate reflectivity, improve critical dimension (CD) control, and, most importantly, improve depth of focus window, thus improving throughput and yields. Bottom anti-reflective coating (BARC) materials are either inorganic or organic in nature. Inorganic BARCs are chemical vapor deposition (CVD) films that work on the principal of destructive interference to eliminate reflectivity and demand tight thickness control in the BARC layer. In contrast, organic BARCs are generally spin-on polymeric materials that reduce substrate reflectivity by absorbing exposure radiation to provide greater latitude in thickness control. As an added benefit, organic spin-on BARCs also provide a level of planarization efficiency prior to photoresist deposition to improve depth of focus and process window in the photolithography step. As the feature sizes continue to shrink, etching becomes very challenging due to thin ArF photoresist (PR) layers which are much less etch resistant compared to KrF photoresists. The reduced thickness, as well as the reduced etch resistance, of the PR makes it nearly impossible to use the PR as both an imaging and a pattern transfer layer. This has lead to the development of a new class of spin-on “hybrid” BARC materials which not only have improved etch selectivity to the PR due to inorganic functionality but also have the absorbing properties, and hence offer greater process latitude. Hybrid BARC (H-BARC) materials enable the BARC layer to act as both an anti-reflective coating and as a pattern transfer layer in standard etch-back integration schemes. Due to the polymeric functionality associated with H-BARCs, these materials have exceptional gap-fill and planarization properties and can also be used in via-first dual damascene applications where similar etch characteristics between interlayer dielectric materials and the via-fill BARC enable better CD control. This paper will focus on the benefits of ENSEMBLE ARC materials, a new class of spin-on hybrid BARC materials, which can be used in either standard BARC applications or in via-first dual damascene applications which require that the BARC act both as an anti-reflective coating and as a via-fill material to assist in CD control during trench etch processes. This paper demonstrates lithography with 193-nm resists, resist compatibility, via-fill performance, optical properties, and etch rates with different plasma recipes.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.