Abstract

Multiple supply voltage is the most prevalent method for low power reduction in the design of modern Integrated circuits. Floorplanning process in this design performs positioning of functional blocks in the layout satisfying both fixed outline and voltage island constraints. The floorplans while satisfying these two significant constraints causes significant rise in wirelength and congestion. In this paper, a congestion and wirelength aware floorplanning algorithm is proposed which allows effective placement of functional blocks in the layout to satisfying fixed outline and voltage island constraints simultaneously. To perform voltage island floorplanning, the proposed algorithm uses Skewed binary tree representation scheme to operate the functional blocks in its predefined voltage level. The proposed methodology determines the feasible dimensions of the functional blocks in the representation which aids the placement process for the reduction of congestion and wirelength. With these optimal dimensions of the functional blocks, floorplanning is also performed for the layouts of aspect 1:1, 2:1, and 3:1, to evaluate the ability of proposed algorithm for satisfying the fixed outline constraint. The proposed methodology is implemented in the layout of InternationalWorkshop on Logic and Synthesis (IWLS) benchmarks circuits for experimental purpose. The resulting floorplans were iteratively optimized for optimal reduction of wirelength and congestion. Experimental results show that the proposed methodology outperforms existing state-of-the-art approaches in wirelength reduction by about 18.65% and in congestion reduction by around 63%, while delivering the 30.35% power consumption.

Highlights

  • Design of low power integrated circuits (IC) has become a challenging problem due to the continuous technology scaling

  • This paper proposes a floorplanning methodology based on Skewed binary tree (SKB) tree representation and performs voltage island floorplanning eliminating the cluster constraint in the conventional SKB tree methodology

  • The results show that proposed algorithm provides reduces 22% of power consumption and increases the percentage of power saving compared with conventional SKB

Read more

Summary

Introduction

Design of low power integrated circuits (IC) has become a challenging problem due to the continuous technology scaling. Deployment of multiple supply voltages in the ICs is one of the best-known technique for the reduction of dynamic power consumption [6]. In this technique, the modules in the chip operate at different voltages, in addition to the chip level voltage [7]. The modules in the chip operate at different voltages, in addition to the chip level voltage [7] Even though it helps in power savings, this introduces a lot of new challenges in the Electronics 2018, 7, 325; doi:10.3390/electronics7110325 www.mdpi.com/journal/electronics

Methods
Results
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call