Abstract

We present the piezoresistive and noise measurement results on junctionless nanowire field-effect transistor (JL-NWFET). Results reveal the channel doping and gate bias impacts on the piezoresistance, threshold voltage and low frequency noise (LFN). In JL-NWFET, at a doping level of 6.7×1018 cm−3, we found 91% increment in the piezoresistive effect compared to device with a doping level 6.7×1019 cm−3. The JL-NWFET shows ∼5 orders of magnitude lower spectral noise than the inversion mode FETs, and LFN is found less sensitive to gate bias voltage. Channel doping shows a wide tunability of threshold voltage without any influence on LFN. The picoampere drain current noise helps achieve a superior resolution (minimum detectable strain) and formulate JL-NWFET as an ultrasensitive sensing element for the nanoelectromechanical sensors.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call