Abstract

Metal silicides have played an indispensable role in the raped development of microelectronics since PtSi was first used to improve the rectifying characteristics of diodes in early 1960s. This work first provides a brief historical overview of the many different silicides, and, correspondingly, the different processing methodologies used in the past.With regard to the present use of silicides in CMOS technologies a convergence becomes clear with the self aligned technology using only a limited number of silicides, namely, TiSi2, CoSi 2, and NiSi. A section on fundamental aspects is included to cover thermodynamics and kinetics, which are essential for understanding the silicide formation processes. The advantage and disadvantages of TiSi2, CoSi 2, and NiSi are analyzed with the development trend of CMOS technologies as a measure. Specifically, the reactive diffusion and phase formation of these silicades in the three terminals of a metal-oxides-semiconductor device, that is, gate, source, and drain are scrutinized. The review ends with an extended discussion of about future trends of metal-silicides in micro/nanoelectronics, with reference to the potential material aspects and device structures outlined in the International Technologies Roadmap for Semiconductors.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call