Abstract

We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2π works optimally for EUV imaging. We show a low refractive index mask absorber (n < 0.91) is crucial for good mask 3D mitigation. We demonstrate the importance of mask bias and incident angle for imaging with an optimized attenuated phase-shift mask (PSM), which makes good source-mask optimization indispensable. We present the lithographic performance of alternative mask absorbers including a high-k mask, and a low- and high-transmission attenuated PSM for a few basic use cases, confirming the lithographic gain that can be obtained by mask-absorber optimization.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.