Abstract

In electronics communication systems random number generation is use for security purpose. The numbers which are in random but in predefined sequence pattern is called as pseudo random while the numbers which are unpredictable and in undefined sequence pattern is called as true random numbers. These random numbers are also use for bit error rate testinig (BERT). When multiple bits are required then linear feedback shift registers are the best source of random number generator. The increase in length of random number sequence consumes more area. Here a increase lenth of sequence and multiple bits random number generator is design using linear feedback shift registers and multiple port SRAM memory. The SRAM base random number generator is area efficient using VHDL. The improved computational time and throughput is computed using VHDL implementation.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.