Abstract

Timing closure remains one of the most critical challenges of a physical synthesis flow, especially when the design operates under multiple operating conditions. Even if timing is almost closed at the end of the flow, last-mile placement and routing congestion optimizations may introduce new timing violations. Correcting such violations needs minimally disruptive techniques such as threshold voltage reassignment and gate sizing that affect only marginally the placement and routing of the almost finalized design. To this end, we transform a powerful Lagrangian-relaxation-based optimizer, used for global timing optimization early in the design flow, into a practical incremental timing optimizer that corrects small timing violations with fast runtime and without increasing the area/power of the design. The proposed approach was applied to already optimized designs of the ISPD 2013 benchmarks assuming that they experience new timing violations due to local wire rerouting. Experimental results show that in single corner designs, timing is improved by more than 36% on average, using 45% less runtime. Correspondingly, in a multicorner context, timing is improved by 39% when compared to the fully-fledged version of the timing optimizer.

Highlights

  • Physical synthesis refers to the process of placing and routing the logic netlist of a design, while concurrently optimizing for multiple objectives given a set of area, power, timing, and routability constraints [1]

  • To improve the applicability of the Lagrangian Relaxation (LR)-based discrete gate sizer in an incremental optimization context, we propose an efficient method for initializing the values of the Lagrange Multiplier (LM) so that the value of each LM is adaptive to the initial design state

  • Worst Negative Slack (WNS) is improved by 35% on average, while late Total Negative Slack (TNS) improves by 39% on average when compared to the corresponding timing results of “Base”

Read more

Summary

Introduction

Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations. Other highly powerful optimization steps such as useful clock skewing are considered hard to apply at the end of the flow, unless there is no other practical way to solve the remaining timing violations [10,12,13]. We propose a novel initialization strategy for multicorner LR-based timing/power optimizers across multiple operating conditions that combines two useful benefits: on one hand, we enjoy the optimization efficiency of an LR-based gate sizer and on the other hand we enjoy fast runtimes and true incremental operation, i.e., the optimized design is only marginally different from the original design, but with the timing violations of multiple corners repaired. Each design has 37% better timing performance on average with reduced leakage power and the runtime is reduced by more than 43% on average, since it simplifies the convergence of the algorithm

Basics of LR-Based Gate Sizing
What Is the Problem?
What Can We Do about It?
Results
Quality-of-Results and Runtime Comparisons
Design
Exploring in Depth the Proposed LM Initialization
Optimization with a Restricted Number of Available Gate Sizes
Conclusions
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call