Abstract

The usage of an extreme ultraviolet (EUV) pellicle is regarded as a potential solution for defect control because it can protect the mask from airborne debris. However, some obstacles disrupt realistic application of the pellicle, such as its structural weakness, the risk of thermal damage, and so on. For these reasons, flawless fabrication of the pellicle is impossible. We discuss the influence of a deformed pellicle in terms of the nonuniform intensity distribution and the critical dimension (CD) uniformity. When we consider a 16-nm periodic pattern with dipole illumination, a transmission difference (max-min) of 0.7% causes CD uniformity of 0.1 nm. The deflection of the aerial image caused by gravity is small enough to ignore. CD uniformity is <0.1 nm, even for the current gap of 2 mm between the mask and pellicle. However, wrinkling of the EUV pellicle, caused by heat, can cause serious image distortion because a wrinkled EUV pellicle experiences both transmission loss variation as well as CD nonuniformity. The local angle of a wrinkle (as opposed to the period or amplitude of a wrinkle) is the main factor that influences CD uniformity, and a local angle of <∼16 deg is needed to achieve 0.1-nm CD uniformity for a 16-nm L/S pattern.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call