Abstract

In this paper, we propose a history-based dynamic voltage scaling (DVS) scheme for a GALS NoC which is suitable for MPSoC architectures. The DVS scheme exploits the link utilization and adjusts the router voltages among few number of voltage modes. The introduced architecture is simulated in 90nm CMOS technology with accurate Spice simulations. Experimental results show that history-based DVS successfully adjusts router voltages to track actual link utilization over time. In comparison to the system in which the voltage is fixed at 1.0v, in a 91% saturated network, the proposed DVS scheme saves dynamic and leakage power about 3.3 and 2.3 times, respectively. In addition, 17% energy-delay saving is achieved in the same traffic load.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call