Abstract

High-resolution electrohydrodynamic inkjet printing has potential to simplify the existing MEMS package fabrication through its additive and digital properties without sacrifices in the I/O density of the package. Initially, the replacement of certain lithographic process steps would lead to decreased material consumption and increased cost-effectiveness of the package fabrication; in long term, the large-scale adoption of additive process steps would enable increasing device customizability and lead to cost-effective fabrication of small batches and even fully tailored device specific MEMS packages. In our work, we have demonstrated the feasibility of this approach by replacing selected lithographic process steps by using high-resolution inkjet technology (Super Inkjet, SIJ Tech.) for metallization of high-density redistribution layers (RDL), metallization of through-silicon-vias (TSV) and fabrication of under bump metallization (UBM). Fully printed high-density redistribution layer (RDL) A fully printed high density RDL in two metallization layers was demonstrated with a combination of Super Inkjet (SIJ) and conventional inkjet printing (DMP-2831). The SIJ was used for the metallization of 5/5 µm W/S high-density circuitry in MET1 and MET2 and DMP for the dielectric layer separating the metallization layers. In order to achieve low resistance conductors with minimal footprint, multilayer printing was employed resulting in a high aspect ratio of over one. The MET1 to MET2 microvia was also additively fabricated by first printing a narrow ~10µm pillar in MET1 with SIJ, then surrounding the pillar with DMP printed dielectric layer and finally connecting the top of the pillar with SIJ printed MET2 conductors. [1] A fully printed RDL increases the customizability of the package fabrication process to a level where each individual interposer on wafer could be fitted with customized RDL to accommodate a specific MEMS device. In addition, the material consumption is reduced significantly since the fully printed RDL does away with global deposition of metal, masking and etching required in standard lithography based metallization. It is also important to notice that these advantages are achieved without sacrificing the density the RDL: the 5/5µm width and spacing for conductors and 10µm microvia diameter are similar to what is achieved with current lithographic processes. Additive metallization of high-density through-silicon-vias (TSV) The sub-femtoliter droplet size of the SIJ and concomitant fast evaporation rate was utilized in rapid metallization of high-density TSVs with top diameter of 23µm and spacing of 10µm. In standard practice CVD, PVD, electroplating or electroless deposition are utilized for metallization of high density TSVs leading to long process time and low level of customizability. The conventional inkjet printing could be used for over 50µm TSV diameters, but it is difficult to achieve stable operation with a 1pl cartridge which could produce small diameter droplets for filling the high density TSVs of 20µm diameter; also the low evaporation rate of the relatively large droplets would prolong the process time since evaporation step would need to be included in the process; in addition, the positioning accuracy of the conventional inkjet printer (e.g. DMP) might not be sufficient for this application. In this regard it was noticed that the SIJ printing has many advantages: first, the sub-femtoliter droplet size leads to fast evaporation rate enabling the metallization of the vias at room temperature without substrate heating or evaporation steps; second, the positioning accuracy of the SIJ stage is under 1µm enabling accurate deposition of the ink in to the TSV; third, since most of the solvent in the droplets is already evaporated during the flight, the chances for creating gas pockets in the filling are reduced. [2] High-resolution inkjet printing for creating smooth UBM The previously discussed approaches for utilizing the high-resolution Super Inkjet printing were restricted to features inside the interposer. However, this fabrication technology also finds utility on the outside of the package, which does not necessarily need such high-density features, but where low surface roughness is important. Highlighting this advantage, a smooth under bump metallization has been fabricated with SIJ on top of a large diameter partially metallized TSV; the TSV has been filled with SIJ printed polymer dielectric. [3] References Laurila et al, “Combination of E-jet and inkjet printing in additive fabrication of multilayer high-density RDL of silicon interposer”, IEEE Trans. Electr. Dev., vol. 64, no. 3, pp. 1217-1224, March 2017 Khorramdel et al, “Metallization of high-density TSVs using Super Inkjet technology”, 2015 IEEE 65th ECTC conf., San Diego, 2015, pp. 41-45 Khorramdel et al, “Inkjet printing technology for increasing the I/O density of 3D TSV interposers”, Microsystems & Nanoengineering, vol. 3, 17002, Apr. 2017

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call