Abstract

IR-drop is a fundamental constraint by almost all integrated circuits (ICs) physical designs, and many iterations of timing engineer change order (ECO), IR-drop ECO, or other ECO are needed before design signoff. However, IR-drop analysis usually takes a long time and wastes so many resources. In this work, we develop a fast dynamic IR-drop predictor based on a machine learning technique, XGBoost, and the prediction method can be applied to vector-based and vectorless IR-drop analysis simultaneously. Correlation coefficient is often used to characterize the symmetry of prediction data and golden data, and our experiments show that the prediction correlation coefficient is more than 0.96 and the average error is no more than 1.3 mV for two industry designs, which are of 2.4 million and 3.7 million instances, respectively, and that the analysis is speeded up over 4.3 times compared with the IR-drop analysis by commercial tool, Redhawk.

Highlights

  • With technologies scaling down continuously, IR-drop, especially dynamic IR-drop, has brought about great concern for very large-scale integration (VLSI) physical designers and testers [1,2,3,4]

  • The training data are generated by top selection and random selection described in the context, the training data numbers are 300 k, which is about 1/4–2/3 of total cell instances in each partition in DesignA and DesignB, respectively

  • We select the total instances in each partition to construct one group of testing data to validate whether our model can successfully predict all IRD values, and the results are shown in Tables 3 and 4

Read more

Summary

Introduction

With technologies scaling down continuously, IR-drop, especially dynamic IR-drop, has brought about great concern for very large-scale integration (VLSI) physical designers and testers [1,2,3,4]. Lower voltage supply makes the signal slower [5] and IR-drop makes the cell delay larger [6], decreasing the circuit performance or leading to timing failure or even increasing yield loss. IR-drop signoff has become a significant step of physical design before tapping out. IR-drop analysis was often conducted by simulation-based commercial electronic design automation (EDA) tools, which were known to be accurate but very time-consuming. For a concrete industrial design, each iteration takes hours. Because no designer can hardly fix all IR-drop violations during one iteration, there needs to be dozens of ECO iterations to fix IR-drop violations, timing violations as well as design rule violations

Methods
Results
Conclusion
Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call