Abstract

Extending 0.33NA extreme ultraviolet (EUV) single patterning to pitch 28 nm will enable significantly shorter process flow for N2 node and cost-efficiency of metal layers patterning. At the same time, EUV single patterning becomes very challenging in terms of stochastic defectivity and process window. To enable EUV single patterning at pitch 28 nm with good process window and patterning fidelity (low defectivity and line edge roughness), three mask candidates are considered: a standard binary Ta-based absorber mask, a high extinction (high-k) absorber mask, and a low-n attenuated phase-shift mask (attPSM). The patterning performance of these three mask candidates is compared by means of source mask optimization. The patterning performance of the candidate masks is assessed using an imec N3 (foundry N2 equivalent) random logic M1 layout. The impact of mask tonality (bright field versus dark field) and insertion of sub-resolution assist features (SRAFs) on pattern fidelity and process window is evaluated. Considering all the aspects, simulations indicate that the low-n attPSM has the best patterning performance both for dark-field mask with SRAFs and bright-field mask without SRAFs.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.