Abstract

Cluster tools are highly integrated machines that can perform a sequence of semiconductor manufacturing processes. Their integrated nature can complicate analysis when evaluating how process changes affect the overall tool performance. This paper presents two integrated models for understanding the behavior of a simple, single loadlock cluster tool. The first model is a network model that evaluates the total lot processing time for a given sequence of activities. By including a manufacturing process model (in the form of a response surface model, or RSM), the model calculates the lot makespan, the total time to process a lot of wafers, as a function of the process parameter values and other operation times. This model allows us to quantify the sensitivity of total lot processing time with respect to process parameters and times. In addition, we present an integrated simulation model that includes a process model. For a given scheduling rule that the cluster tool uses to sequence wafer movements, we can use the simulation to evaluate the impact of process changes, including changes to product characteristics and changes to process parameter values. In addition, we can construct an integrated network model to quantify the sensitivity of total lot processing time with respect to process times and process parameters in a specific scenario. We also present an evaluation of the effectiveness of two different scheduling rules, push and pull. The examples presented here illustrate the types of insights that we can gain from using such methods. Namely, the lot makespan is a function not simply of each operation's process time, but specifically of the chosen process parameter values. Modifying the process parameter values may also have significant impacts on the manufacturing system performance, a consequence of importance that is not readily obvious to a process engineer when tuning a process. This result can be seen either with the decrease of raw process time causing little change to the makespan, or the extreme example in which this could cause an increase in makespan because of an inefficient scheduling rule. Additionally, because the cluster tool's maximum throughput, which is the inverse of the lot makespan, depends on the process parameters, the tradeoffs between process performance and throughput should be considered when evaluating potential process changes and their manufacturing impact.

Full Text
Published version (Free)

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call