Abstract

Model-based Optical Proximity Correction (OPC) usually takes into consideration optical and resist process proximity effects. However, the etch bias proximity effect usually can not be completely eliminated by etch process optimization only and needs to be compensated for in OPC flow for several critical layers. Since the understanding of the etch process effect is getting better and accurate etch bias modeling is available now, lithographers start to migrate from rule-based correction to model-based correction. Conventionally when etch bias is considered in model-based correction, optical/resist/etch effect is corrected in one step by using the input layout as the final etch target. In this paper, we proposed a new flow in which etch and optical/resist process effect are separated in both model calibration and layout correction. This double separation allows easier control over etch and resist target, resulting in drastic reduction of OPC runtime. In addition it enables post-OPC verification at both resist and etch level. Advantages of the new integrated model-based retarget/OPC flow in RET implementation are also discussed.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.