Abstract

The SHARP high-numerical aperture actinic reticle review project is a synchrotron-based, extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP emulates the illumination and imaging conditions of current EUV lithography scanners and those several generations into the future. An anamorphic imaging optic with increased mask-side numerical aperture (NA) in the horizontal and increased demagnification in the vertical direction has been proposed to overcome limitations of current multilayer coatings and extend EUV lithography beyond 0.33 NA. Zoneplate lenses with an anamorphic 4×/8× NA of 0.55 are fabricated and installed in the SHARP microscope to emulate anamorphic imaging. SHARP’s Fourier synthesis illuminator with a range of angles exceeding the collected solid angle of the newly designed elliptical zoneplates can produce arbitrary angular source spectra matched to anamorphic imaging. A target with anamorphic dense features down to 50-nm critical dimension is fabricated using 40 nm of nickel as the absorber. In a demonstration experiment, anamorphic imaging at 0.55 4×/8× NA and 6 deg central ray angle (CRA) is compared with conventional imaging at 0.5 4× NA and 8 deg CRA. A significant contrast loss in horizontal features is observed in the conventional images. The anamorphic images show the same image quality in the horizontal and vertical directions.

Full Text
Paper version not known

Talk to us

Join us for a 30 min session where you can share your feedback and ask us any queries you have

Schedule a call

Disclaimer: All third-party content on this website/platform is and will remain the property of their respective owners and is provided on "as is" basis without any warranties, express or implied. Use of third-party content does not indicate any affiliation, sponsorship with or endorsement by them. Any references to third-party content is to identify the corresponding services and shall be considered fair use under The CopyrightLaw.